home
***
CD-ROM
|
disk
|
FTP
|
other
***
search
/
Power Tools 1993 November - Disc 2
/
Power Tools Plus (Disc 2 of 2)(November 1993)(HP).iso
/
valid
/
engineer.txt
< prev
next >
Wrap
Text File
|
1993-09-30
|
909KB
|
27,459 lines
HEWLETT-PACKARD - COMPANY CONFIDENTIAL
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
ADLPIPE Menu System
DAD021500100 Complimentary Vendor
ADLPIPE, INC.
2 Tyler Ct.
Cambridge, MA 02140
I.W. Dingwell (617) 492-1991
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Mechanical Engineering\Dynamic Analysis
Mechanical Engineering\Mechanical System Analysis
Product Description:
The ADLPIPE Menu System is for pipe stree analysis. ADLPIPE
performs stress analysis of piping systems under static and dynamic
loads in accordance with ANSI, ASME and British Standard codes.
The ADLPIPE Menu System uses state of the art technology, pop up
menus with prompts for data entry, windowed grahpics for quick review
and databases of component dimensions, material properties and
earthquake spectra.
The Menu System may be part of a larger network as it accepts 3D
plant design information from CAD systems (CADIN). The Menu System has
its own interactive pipe router (ADLPREP); a post processor for
preparation of user defined reports (ADLPOST); an interactive drafting
system for construction isometrics and bill of material (ISOEDIT and
BILMAT); and a graphics display system of developed images (REVIEW).
Configuration Data:
not available
Pricing Information:
$10,000 - $20,000 depending on modules purchased
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
AE Project Management
AEN958660000 DAR
Automated Environments
P.O. Box 990
Newcastle, CA 95658-0990
Steven Alves (916) 663-8649
Industry:
Architectural/Structural Eng.\Accounting/Management Systems
Corporate Services\Project Cost Management
Mfg/Custom\Cost Accounting
Product Description:
AE Project Management offers professional and service-based
companies flexible and comprehensive project accounting. AE Project
Management System consists of seven modules - Project Management -
Accounts Receivable - Accounts Payable - Payroll - Purchase Order -
Inventory Control - General Ledger - The primary capabilities include:
Single-Entry Integration - Labor & Expense Tracking - Multiple
Sub-Jobs - Multiple Job Phases - Multi-Level Budgeting - Multiple
Contract Types - Multiple Billing Rates - Multiple Cost Rates - Flexible
Invoicing - Work-In- Process Management - Departmental Distribution -
Materials Allocation - Staff Utilization -and Job Cost Reporting.
AE PM has been developed using the Informix 4GL Database and CASE
Tools and is modifiable by design with source code availabaility. AE PM
offers an extensive selection of management reports and can use
Informix-based report writers. AE PM seamlessly integrates with other
AE software, including AE Human Resources, AE Prospect Management and
Informix-based accountingh software, including FourGen Software.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
ARGOS Building Design
ARG018660000 ISV
ARGOS Systems, Inc.
60 Mall Rd.
Burlington, MA 01803
FAX (617) 229-0435
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Architectural/Structural Eng.\Drafting
Architectural/Structural Eng.\Electrical Layout/Design
Product Description:
ARGOS Building Design System is an interactive CAD/CAM application
which is specifically tuned for the design and construction of small and
middle sized buildings, and for the design and manufacturing automation
of industrial prefabricated building production.
ARGOS BDS supports the entire design process and provides
facilities for the management of all product information. The
application software includes 2D and 3D design and drafting, relational
product database, wall panel design, documentation and product data
management modules. The software has been built to allow the user to
work with "intelligent" building concepts and components such as walls,
windows, doors, roofs, trusses, beams, furniture etc, rather than lines
and other basic graphical elements.
The documentation produced by ARGOS BDS includes foundation plans,
floor plans, detail drawings, roof framing plans, wall panel layouts and
drawings, electrical and HVAC plans, elevations, 3D perspective views
(inside and outside), Bill of Materials and cost estimates.
ARGOS BDS can be customized to meet the specific needs of each
user, and can be connected to the order processing, materials management
and production management systems of industrial builders.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph 3-D Solids Modeling
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.
Civil Engineering\Drainage
Product Description:
An integrated 3-D Solids Modeling Module for use with the Accugraph
MountainTop Expert Drafting Module. The system features multiple
viewing windows, hidden line removal, shading, many projection systems
(6 orthogonal, perspective, 8 isometric, 8 dimetric, 8 trimetric, and 6
oblique), primitives (box, cylinder, sphere, and cones), extrusions and
revolutions, 3-D Symbols, Light Sourcing and Cutting Plane
2-Dimensional drawings can be used to generate 3-Dimensional views,
and 3-Dimensional views can be converted to 2 Dimensional views.
Additional Comment:
Training is available through a self-training program, or with
instruction at Accugraph's El Paso facilities or at the customer's site.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph ADC400
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.
Architectural/Structural Eng.\Drafting
Architectural/Structural Eng.\Electrical Layout/Design
Product Description:
Computer-Aided Design and Drafting Software for Architectural,
Structural, Mechanical, and Facilities Management.
ADC400 is an easy to use, easy to learn and highly productive
drafting and design package that operates on the HP 1000 A-Series
computer.
A system hierarchical menu guides the user through the software to
maximize productivity and minimize the learning time. An extensive
editing capability greatly reduces operator inefficiency.
ADC400 has a full set of drawing and module management tools for
protection, archiving and library control.
ADC400 makes effective use of the multi-tasking and multi-function
capabilities of the HP 1000 and RTE-A.
ADC400 has included among the enhancements the Macro Parametric
Design System, allowing the user to incorporate a macro into the ADC400
workspace. Additional Services:
Training is available through a self-training program, or with
instruction at Accugraph's El Paso facilities or at the customer's site.
Configuration Data:
not available
Pricing Information:
Available upon request.
System Information:
HP1000 RTE
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph ADC800
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.
Application Dev./Software Eng.
Architectural/Structural Eng.\Drafting
Product Description:
Computer Aided Drafting (CAD) development of mechanical/
architectural design drawings, with attachable 3D Modeling and Attribase
data. System includes an attributive based data management.
2D Drafting: HolguinCAD, ADC800 utilized "heads-up" onscreen
formats, menu driven with standard technical terms. ADC800 consists of
a sophisticated two-dimensional drafting solution which generates
drawings in the form of pictures or sheets. Some features of this
system are: extensive editing and picture manipulation, automatic
calculation of areas and dimensions with proper styles and terminators,
optional detail components library of mechanical and architectural
organized in CSI format for assembling details and sectors.
3D Modeling: A three-dimensional wire frame system is also
available with the ability to select views such as orthographic,
perspective, isometric, diametric, cavalier, and cabinet. One to nine
active windows allow simultaneous presentation of a separate views of
importance, to the design function. Each view contains a coordinate
system that can be repositioned to change the designers frame of
reference, while maintaining the relative spatial relationship with
other views. The views may be manipulated independently in terms of
size or positioning. Pictures created using 3D Modeling are accessible
by the two-dimensional product for project detailing.
Configuration Data:
not available
Pricing Information:
Available upon request.
System Information:
HP9000/300 PASCAL
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph AFNS Networking System
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.
Civil Engineering
Property Management\Facilities Management
Product Description:
Accugraph's Flexible Networking System (AFNS) is an integrated
network of solutions and support services. AFNS offers a networking
system to support DOS and UNIX based hardware and software solutions
from multiple vendors.
* MTX-UNIX in DOS: uses existing DOS based PCs to access new UNIX
power.
* MTX-DOS in UNIX: uses NEW unix based workstations to access
existing DOS based programs.
* MTX-X: a network window system to support simultaneous operation
and viewing of multiple solutions.
* Mountain Top: An advanced CAD system, integrated (not just
linked) with spreadsheets, RDBMS, word processing and intelligent design
tools for specific applications - AND, accessible from both PCs and
32-bit workstations.
* MTX-INSTALL, TRAIN and SUPPORT options available
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph DIAS (Spreadsheet)
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.
Civil Engineering\Geographic Data Management
Property Management\Facilities Management
Product Description:
DIAS, the Drawing Intelligence Automation System, is an embedded
spreadsheet module for use within the MountainTop CAD and Graphic
Information Management System. DIAS provides an interactive link
between essential non-graphic data and the drawings created in
MountainTop. It creates a database of information which is directly
associated with the geometric, textual and grantitive database of the
drawing. Because DIAS is linked directly to the drawing, changes in the
drawing are automatically and dynamically reflected in DIAS.
Information developed in DIAS can be used to generate schedules,
bills of materials, reports, and to perform any analytical or
engineering function.
DIAS information can also be output to other popular spreadsheet
programs. Accugraph offers a complete solution which includes
documentation, training, computerized tutorials, software maintenance
and support.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph DXF-IN
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.
Civil Engineering\Drainage
Product Description:
An integrated DXF (TM) translator for use with the Accugraph
MountainTop system. DXF-IN can be used to transfer drawings from
AutoCAD (TM) to MountainTop. This translator can also be used to
transfer drawings from other systems that support DXF, into MountainTop.
This translator is included as a standard part of MountainTop
Expert Drafting.
Additional Comment: Training is available through a self-training
program, or with instruction at Accugraph's El Paso facilities or at the
customer's site.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph DXF-OUT
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.
Architectural/Structural Eng.\Design/Simulation/Analysis
Product Description:
An integrated DXF (TM) translator for use with the Accugraph
MountainTop system. DXF-OUT can be used to transfer drawings from
MountainTop to AutoCAD (TM). This translator can also be used to
transfer drawings from MountainTop to other systems that support DXF.
Additional Comment: Training is available through a self-training
program, or with instruction at Accugraph's El Paso facilities or at the
customer's site.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph EasyData
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.
Civil Engineering\Drainage
Product Description:
An integrated EasyData translator for use with Accugraph
MountainTop system. EasyData is Accugraph's ASCII drawing interchange
format. EasyData can be used to transfer drawings between Accugraph
systems (such as ADC800, ADC400, PDC100, ADC210, or ADC250), or as a
mechanism to interface other programs and systems to Accugraph products.
The translator is bi-directional.
Additional Comment: Training is available through a self-training
program, or with instruction at Accugraph's El Paso facilities or at the
customer's site.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph Expert Drafting
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.
Civil Engineering
Property Management\Facilities Management
Product Description:
Accugraph's MountainTop CAD and Graphic Information System is built
around the core of Expert Drafting. Expert Drafting is a feature-rich,
sophisticated design and drafting tool with a user-friendly menu driven
functionality. MountainTop gives the user a choice of command access
methods and overall screen layout. Functions may be selected from
Command Menus, an on-screen Supplementary Menu, or a fully customizable
function bar. When numerical input is required, a calculator
automatically appears to facilitate the process. With Expert Drafting,
the designer can create intelligent electronic models of his projects,
not just linework. And all the other MountainTop modules integrate
seamlessly and transparently with the Expert Drafting core.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph HVAC Interface
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Property Management\Facilities Management
Product Description:
An Integrated HVAC Module for use with the MountainTop Expert
Drafting and DIAS modules. The software provides the user with the
ability to determine appropriate duct sizes from drawing schematics and
Air Conditioning Requirements. The duct analysis can be performed in
either static regain, friction or contant velocity methods.
This module includes the "single line" symbol library to facilitate
the design of the HVAC layout. The user can then determine all duct
sizing limitations and requirements from the interactive spreadsheet and
drawing information. The module further permits the user to output an
ASCII file which is read in by the ELITE Ductsize program for duct
sizing alalysis. Once analyzed, an ASCII file can be returned to
MountainTop for accurate duct sizing specifications which permits quick
drawing generation by utilizing duct symbology.
The module includes the following items:
* HVAC symbol template for single and double line drawing.
* Two spreadsheets for HVAC specification (Input and Output).
* HVAC translator functions for moving information to and from the
ELITE ductsize program.
* The ELITE DUCTSIZE program for calculating duct sizing
calculations.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph IGES Translator
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.
Architectural/Structural Eng.\Design/Simulation/Analysis
Product Description:
An integrated IGES translator for use with the Accugraph
MountainTop system. IGES can be used to transfer drawings between
Accugraph systems and other CADD systems, or as a mechanism to interface
other programs and systems to Accugraph products. Version 3.0 of IGES
is supported. The translator is bi-directional.
Additional comment:
Training is available through a self-training program, or with
instruction at Accugraph's El Paso facilities or at the customer's site.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph MountainTop CAD System
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.
Civil Engineering
Telecommunications\Telecomm. System Design
Product Description:
MountainTop is a full function, integrated CAD and Graphic
Information Management System. It is written in the C Language and can
be run under the X-11 Window System. The core of MountainTop is a
sophisticated design and drafting program, Expert Drafting. Other
modules include a graphical link to SQL-based RDBM systems, a parametric
modeler, a 3D solids modeler, a text documentor, an embedded spreadsheet
system, and a scanner interface module.
Accugraph also offers these translators: DXF-IN, DXF-OUT, IGES AND
EasyData, for interchanges between other software systems. Through
these translators, MountainTop can also be interfaced to several third
party packages for other Engineering, GIS or Numerical Control
applications.
The MountainTop package also includes several application specific
modules for industries including Facility Management, Civil Engineering,
Architecture, and Telecommunications Engineering. Accugraph also offers
complete documentation, training, computerized tutorials, software
maintenance and support.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph Parametric Modeling
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.
Civil Engineering\Drainage
Product Description:
Parametric Modeling is an integrated module in the MountainTop CAD
and Graphic Information Management System. Parametric models are
variable computer representations of objects, which can automatically
reflect changes in the graphic design as changes are made to the
variables.
These models are easily generated through the MountainTop user
interface, with no need for complex programming functions This module
is powerful and useful for functions including: the generation of
families of drawings based on the same generic design; to simulate
moving objects and check for clearances and obstructions in the path; a
tool for analyzing and generating design alternatives.
Accugraph offers a complete solution which includes documentation,
training, computerized tutorials, software maintenance and support.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph RISE RDBMS
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Civil Engineering\Geographic Data Management
Property Management\Facilities Management
Product Description:
An integrated MountainTop module that provides complete graphical
access to a relational database system. Users can graphically create
SQL tables and views, perform queries and edit database information. In
addition to the graphical RDBMS user interface, users can associate
graphical CAD drawing elements with nongraphical information in the
database, and automatically have database operations parallel the CAD
commands for Copy, Delete, Load etc.
The RISE module also comes with a predefined RDBMS TABLE/COLUMN
function set of associating (via the database) graphical CAD elements
with: bit images, text documents, other drawings and other UNIX
programs.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph SCAN
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.\Drafting
Civil Engineering\Mapping
Property Management\Facilities Management
Product Description:
An integrated system for scanning drawings and manipulating the
resulting raster images. The system allows the user to scan existing
paper drawings into the computer via a scanner. Formats up to E size
scanned at 400 dots per inch are accepted.
The resulting raster image can be loaded into the MountainTop
drawings, parametric models, text spreadsheets, etc. Raster editing
functions allow cleanup of the scanned image. In addition, the raster
image can be used in conjunction with functions of other MountainTop
modules such as drafting, parametric modeling with embedded spreadsheet
to enter data in a CAD format.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph Stacking & Blocking
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.
Architectural/Structural Eng.\Design/Simulation/Analysis
Property Management\Facilities Management
Product Description:
An Integrated Stacking & Blocking Module for use with the
MountainTop Expert Drafting Module. The software provides the user the
ability to optimize People/Product/Space allocation through the use of
Affinity/Adjacency Matrices. The product is ideally suited for project
oriented applications. The S&B module permits the user to establish a
"database" of Space, Matrix, and Envelope data and then retrieve the
required data from the base database. Therefore eliminating the need to
continuously re-enter the data for the next project. Drawing
information can be easily exchanged between the Expert Drafting module
and the Stack/Block database. The module contains the following
features:
* Space Database
* Matrix Database
* Envelope Database
* Stacking Analysis
* Blocking Analysis
* Bubble Diagram Capabilities
* Full Reporting Capability
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Accugraph Technical Documentor
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Architectural/Structural Eng.
Civil Engineering\Drainage
Property Management\Facilities Management
Product Description:
An integrated Word Processing Module for use with the other
Accugraph MountainTop Modules. The Technical Documentor permits the
creation, editing, and viewing of any text information (schedules, bill
of materials, values, reports, notes, etc).
Any text that is in the Technical Documentor can be subsequently
placed in an Expert Drafting drawing.
The Technical Documentor can read ASCII files produced by other
systems (such as Word Perfect (TM), via Lotus 1-2-3 (TM), etc). Text
files can also be saved in ASCII format, permitting other systems to
read them.
Additional Comment:
Training is available through a self-training program, or with
instruction at Accugraph's El Paso facilities or at the customer's site.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
AutoCAD
AIM752660000 DAR
Automation Image, Inc.
2650 Valley View Lane #100
Dallas, TX 75234
FAX (214) 243-2814
Industry:
Architectural/Structural Eng.\Drafting
Civil Engineering\Mapping
Mechanical Engineering\Mechanical Design
Product Description:
AutoCAD is the industry-standard design and drafting software
placing a comprehensive set of 2-D, 3-D, solid modeling and
visualization tools at your fingertips. These tools are fully
integrated into a single databaase and single user interface, making it
easy to pick at the right tool for the job at hand. The AutoCAD
Advanced Modeling Extension (AME) is a fully integrated solid modeling
option for AutoCAD. AME lets you assign material properties to your
solid models and then lets you calculate and display mass properties
such as volume, mass, moments of interia and radii of gyration. This
allows you to perform a wide range of analyses on your solid model as
though it were a physical prototype. After you've completed a solid
model, you can easily extract working drawings, and use your model
geometry for various downstream applications such as finite-element
analysis, technical illustrations, or NC part programming and toolpath
verification. AutoShade is a sophisticated color rendering product that
works in conjunction with AutoCAD. It turns AutoCAD 3-D models into
realistic, high-quality color renderings.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
AutoCAD
CSO951660000 DAR-TS (TOP SELLERS)
CAD Solutions, Inc.
2841 Junction Ave. #200
San Jose, CA 95134
Dennis K. Bartken (408) 943-1670
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Product Description:
CAD Solutions established in 1985 to provide computer-aided
drafting solutions to customers in the Northern California area. From
the beginning, DAD Solutions' foundation consisted of engineering
professionals experienced in CAD integration and CAD software
development. In recent years, CAD Solutions has come to dominate the
CAD/CAM market as the largest value added reseller and system integrator
within the United States. By the end of 1992, the industry recognized
CAD Soltions as the leader in work group automation providing Drafting,
Design, Document Management, Product Data Management solutions to our
customers. Some of the integrated software solutions that CAD Solutions
provides on the Hewlett Packard workstation line are SDRC and AutoCAD,
among others.
CAD Solutions maintains its headquarters in San Jose, California,
as well as fully staffed sales and support branch offices in:
Cupertino, Irvine, and San Diego, California; Phoenix, Arizona;
Cleveland, Ohio; Detroit, Michigan; and Pittsburgh, Pennsylvania.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
AutoCAD
C1X802660000 DAR
CAD-1
7000 N. Broadway #1-101
Denver, CO 80221
Fax (303) 427-2231
Industry:
Architectural/Structural Eng.\Drafting
Architectural/Structural Eng.\Environment Eng & HVAC
Architectural/Structural Eng.\Design/Simulation/Analysis
Product Description:
AutoCAD is the industry-standard design and drafting software for
desktop computers and workstations. One reason for AutoCAD's popularity
over the years has been its consistent technical superiority.
AutoCAD's open architecture embedded programming language,
programming environment and extensive platform and peripheral support
give customers exceptional flexibility in creating the design and
drafting environments that best meets their requirements.
Configuration Data:
not available
Pricing Information:
$3750.00
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
AutoCAD
MSS040660000 DAR
Maine Surveyor's Service
28 US Rt. One
Yarmouth, ME 04096
FAX (207) 846-3674
Industry:
Architectural/Structural Eng.\Drafting
Civil Engineering\Mapping
Civil Engineering\Surveying
Product Description:
AutoCAD is one of the most desktop CAD software products available
in worldwide CAD market today. Using this product insures file
compatibility with any other CAD software. AutoCAD's DWG and DXF file
structures are the standard in the industry. An important aspect of
this popularity is due to the large amount of third party applications
specific software packages that use AutoCAD as the graphics engine and
run inside of AutoCAD. Our speciality is based on AutoCAD running with
some of these third party applications with strong applications in
survey/civil enginerring and mapping.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
BASE Design
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Architectural/Structural Eng.\Drafting
Graphics\Graphical Output (general)
Product Description:
BASE Design is a general drafting application utilizing an
on-screen user interface. It is easy to learn and use, and is suitable
for casual users. BASE Design capabilities include general geometric
construction; graphics and text editing, dimensioning, and plotting.
Prerequisite: Tablet or Mouse
Recommended: 8Mbytes memory
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
BASE Plan
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Architectural/Structural Eng.\Drafting
Product Description:
BASE Plan produces floor and ceiling plans as well as construction
quality drawings for architects, space planners, and facility managers.
Wall, door, window and other architectural elements can be interactively
placed using comprehensive menu functions. Door, window and room finish
schedules can be generated per user-specified formats. BASE Plan has an
easy-to-use on-screen menu interface and is integrated with a General
Drafting Interface (GDI). Also intelligent door and window jams
automatically generated from a 2D floor plan. New 3D staircases and
handrails are parametrically created from design information. BASE Plan
operates only through the on-screen menus. Both English and metric
units are supported.
Prerequisite: Tablet or mouse
Recommended: Interactive Hidden Line Removal or Shading Options for
visualization 8Mbytes memory for all workstations
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
CVpvs Product Visualization System
CTEL4B660000 DAR
Conceptual Technologies Inc.
45 Vogeu Rd. #700
Richmond Hill, Ontario
Canada L4B 3P6
FAX (416) 770-9066
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Construction: Non-residential\Project Management Systems
Public Utilities\Plant Management
Product Description:
CVpvs ia a standalone application product to perform vitual
"walk-throughs" of any large-scale project.
Features include: Verification of non-graphic attributes from an
external database; superior interactive performance with unique
interrupt display feature; easy-to-use interface; object movement for
construction/manufacturing planning; interactive interference checking.
CVpvs is particularly well suited for the AEC industry requiring
virtual "walk-through" capabilities of large 3-D models such as power or
process plants, offshore platforms or ships as well as infrastructure
projects. CVpvs is also appropriate for manufacturers of large and
complex assemblies such as aerospace; automotive and mechanical
machinery who have a requirement to manipulate, communicate, and animate
a large scale design.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
CaddTech
CPR130660000 DAR
CaddTech Productivity, Inc.
4713 Crossroads Pk., Dr.
Liverpool, NY 13088
FAX (315) 453-7209
Industry:
Architectural/Structural Eng.\Drafting
Application Dev./Software Eng.\Integrated Product Support Env
Government\Correctional Facilities
Product Description:
CaddTech speciality services:
*Business Consulting
*Networking Services
*Custom Software
*Integration Testing/Staging
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Computer Aided Design Systems
WOL950660000 DAR-TS (TOP SELLERS)
Wolf Computer
105 N. Santa Cruz
Los Gatos, CA 95030
Fax (408) 395-2364
Industry:
Architectural/Structural Eng.\Drafting
Aerospace\Military Applications
Mechanical Engineering\Mechanical Design
Product Description:
Wolf Computer specializes in the integration of third party
hardware and software with Hewlett-Packard computers to provide CAD
solutions for engineer and architects. In addition to stand alone
workstation, Wolf Computer can network systems utilizing, NOVELL, SCO
UNIX, TCPIP.
Wolf can integrate HP systems well into non "HP houses" since our
background and experience include many types of computer equipment.
Wolf employs specialists in the fields of networking, CAD, and SCO
unix.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Computer-aided Design Systems
ACC352660000 DAR
Alabama CAD/CAM
33 Barber Ct. #119
Birmingham, AL 35209
FAX (205) 941-1008
Industry:
Architectural/Structural Eng.\Drafting
Mfg/Support\Computer-Aided Manufacturing
Mechanical Engineering\Mechanical Design
Product Description:
Alabama CAD/CAM provides computer-aided design and computer-aided
manufacturing systems for architects, consulting engineers, design
professionals, and manufacturers. As a value-added reseller, the
company offers a full range of services including:
* Consolation
* Hardware Sales
* Software Sales
* System Integration
* Networking Software
* Support Services
* Hardware Maintenance
* Custom Software Development
Alabama CAD/CAM is committed to keeping their customers in touch
with the latest technology for CAD/CAM.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Data Terminal Mart
DTMH3#660000 DAR
Data Terminal Mart - Quebec City
14 Place du Commerce #50
Verdun, Quebec
Canada H3# 1T5
FAX (514) 765-0820
Industry:
Architectural/Structural Eng.\Electrical Layout/Design
Product Description:
Autocad R11, CAD package with architectural and building
engineering software.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Dimension III
CTEL4B660000 DAR
Conceptual Technologies Inc.
45 Vogeu Rd. #700
Richmond Hill, Ontario
Canada L4B 3P6
FAX (416) 770-9066
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Mfg/Process\All Process Manufacturers
Public Utilities\Plant Management
Product Description:
Dimension III is an integrated system of CAE/CAD design, analysis,
and information tools for the Architectural, Engineering, and
Constructioin (AEC) industry, and those sectors involved in large-scale
plant design projects. It provides a common database for a wide range
of project information used in modeling, drawing, engineering analysis,
procurement, and scheduling.
Features include: Fully integrated 3D design for piping,
structural steel, HVAC, concrete and electrical control systems.
Dimension III is specifically designed to meet the needs of the
multi-discipline environment of the engineer- constructor, the
owner-operator in the petro-chemical or utility industry, the
shipbuilding or offshore oil facility designer, or the civil engineer.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Electrical Layout
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Architectural/Structural Eng.\Electrical Layout/Design
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
Electrical is a comprehensive package for creating electric design
drawings. The package includes reflected ceiling plan and light fixture
layout; schematic circuit wiring layout in plan view; panel plans and
riser diagrams for major power and communications systems; and
production proven standard details and schedule formats. Electrical
design capabilities include load analysis, short circuit calculation and
lighting analysis by zonal cavity technique. A final panel diagram is
produced. Only English units are supported.
Prerequisites: Jazz Soto Graphics Software
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
FOTO
COG018660000 ISV
Cognivision, Inc.
319 Littleton Rd. #100
Westford, MA 01886
Olin Lathrop (508) 392-0881
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Earth Resources Engineering\Geologic Data Analysis
Product Description:
FOTO is a general purpose visualization application. It allows
users to interactively connect parameters in a data set to visualization
types, such as hue, lightness, saturation, transparency, surface
deformations, and arrow ikons. No programming is required.
FOTO handles regularly gridded data, and data with its own inherent
geometry. Data values may vary over time. FOTO's simple to use
animation editor works on the key frame principle. The user specifies
the view, visualization parameters, and model time at selected frames of
an animation sequence, and in-between frames are automatically created.
FOTO has been used to understand data from a wide range of
applications, including finite element analysis, fluid dynamics, oil
reservoir engineering, heat flow analysis, meterological simulation, and
medical research.
Configuration Data:
not available
Pricing Information:
$7,500 to $19,500 for first license, depending on workstation.
Substantial quantity discounts. University price is $300
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
GT STRUDL
GTE303660000 ISV
Georgia Tech
GTICES Systems Laboratory
Atlanta, GA 30332-0355
FAX (404) 894-2278
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Government\Public Works
Mechanical Engineering\Finite Element Analysis
Product Description:
GT STRUDL integrates state-of-the-art finite element analysis with
superior 3D color graphics, database management and structural steel and
reinforced concrete design to give the engineer a complete structural
engineering system. GT STRUDL is used by the best design/construction
firms, water and power, and industrial organizations for the frame and
finite analysis plus design of facilities including: buildings;
industrial plant facilities, power transmission towers; power generation
structures; bridges; hydraulic structures; ships; and offshore
structures.
Analysis includes both linear and nonlinear static, and linear
dynamic structural analysis. Over 100 finite element types, including
conventional, isoparametric, transition, axisymmetric and hybrid
formulation elements are available. Dynamic analysis can solve the
eigenproblem, as well as perform transient, response spectrum, steady
state, and harmonic analysis. Nonlinear analysis includes the ability
to solve cable-stayed and cable net structures, tension or compression
only memebers, non-linear spring supports, nonlinear geometry, and
boundary contact problems. All pre and post-processing is fully
integrated. GT MODELER is a very powerful interactive
graphics-oriented, menu-driven, full 3D modeling system.
Designs in steel and reinforced concrete are performed by the
American and British design codes.
Graphical display includes undeformed, static deformed, and dynamic
mode-shape plots, FE stress, strain and displacement contours, and
member force diagram and envelope plots.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Gateway Computer
GAT337660000 DAR-TS (TOP SELLERS)
Gateway Computer Associates, Inc./MA-ICD
10901-B Roosevelt Blvd. #300
St. Petersburg, FL 33716
Fax (813) 579-1107
Industry:
Architectural/Structural Eng.\Drafting
Mfg/Aero/Defense/Govt Contract\Computer-Aided Manufacturing
Mechanical Engineering\Solids Modeling
Product Description:
Gateway provides a broad spectrum of CAD, CAM, CAE and related
systems, training, services and continuing support for: Drafting, 3D
Design, CAM Analysis, Presentations, Technical Publications, GIS
Mapping, Scanning and Conversion, and Drawing Database Management. We
represent AutoCAD, CADkey, SDRC, Frame Maker, Geo/Sql and Cyco as well
as many others.
Configuration Data:
not available
Pricing Information:
available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Intergraph MicroStation
CTC113660000 DAR
Com Tek CADD Co., Inc.
77-12 - 31st Ave.
Jackson Heights, NY 11370
Evangelos Kontos (212) 480-8166
Industry:
Architectural/Structural Eng.\Drafting
Civil Engineering\Mapping
Mechanical Engineering\Mechanical Design
Product Description:
Intergraph with its MicroStation 4.0 HP 700 product, offers a
state-of-the-art CAD software application serving the architectural and
engineering industries. Intergraph, long recognized as a leader in the
CAD industry combines a comprehensive array of 2-D and 3-D drafting
capabilities as well as a highly regarded and well received graphical
user interface consisting of on-screen icon commands, pop-up dialogue
boxes, pull-down menus and re-sizable views. Context sensitive, online
help is available for all commands and features. In addition to the
extensive drafting features, MicroStation HP 700 offers utilities for
rendering, flying walk-thrus, hidden line removal, and AutoCAD Direct
Import/Export (eliminating the step of DXF). MicroStation HP 700
creates its data files in a consistent Intergraph data format thus
assuring direct compatibility with all Intergraph installations
regardless of platform. With its advanced features such as Reference
files, Database links and advanced surfacing tools for 3-D design,
MicroStation can serve the needs of a wide range of users. With
MicroStation Development Environment, users can extend or customize
MicroStation to suit their design needs or develop specialized
applications. There also exists an extensive number of purchaseable,
ISV-developed software applications to provide desired functionality as
a pre-packaged solution.
Configuration Data:
not available
Pricing Information:
$3,450.00 Manuf. Sugg. retail price
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Intergraph Microstation
CAD303660000 DAR
CAD Systems, Inc.
9040 Roswell Rd. #462
Atlanta, GE 30350
FAX (404) 642-2344
Industry:
Architectural/Structural Eng.\Drafting
Architectural/Structural Eng.\Design/Simulation/Analysis
Mfg/Support\Computer-Aided Manufacturing
Product Description:
CAD Systems, Inc. provides total turnkey solutions for the
Intergraph CAD environment. This includes integration of HP/700
platforms with other operating systems incuding MS-DOS and Intergraph
Inter Pro's. CSI provides training, support and third party packages to
enhance the use of Micro Station on the HP/700.
Micro Station HP/700 CAD files are 100% compatible, without
translation, with Intergraph files on all other hardware platforms.
Configuration Data:
not available
Pricing Information:
$3,450.00
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Landscape Designware
IDE303660000 DAR
Ideagraphix, Inc.
1231-B Collier Rd.
Atlanta, GA 30318
FAX (404) 352-5312
Industry:
Architectural/Structural Eng.\Drafting
Architectural/Structural Eng.\Design/Simulation/Analysis
Civil Engineering\Roadway Design
Product Description:
Landscape Designware includes:
* Site design features include commands and symbols to lay out site
plans, models, and related drawings. Property lines, easements, right
of ways, setbacks, and utility line symbology are standard. Defining
parking layouts, curbs, planters, handicap ramps, and stall stripling
are all automated.
* Planting design contains hundreds of 2D and 3D trees, shrubs,
flowers, and ground cover cells. Plant lists can be generated,
specifying quantities, scientific or common names, and plant sizes. A
library of site furnishings, plant groupings, labeling and shadows is
also included.
* Irrigation design assists in sizing of pipe, drip systems, and
equipment layouts. Head symbols are provided with a different symbol
for each standard spray angle. You can customize our symbols with
letters to create an unlimited library.
Configuration Data:
not available
Pricing Information:
$1800.00
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
MicroArchitect
IDE303660000 DAR
Ideagraphix, Inc.
1231-B Collier Rd.
Atlanta, GA 30318
FAX (404) 352-5312
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Architectural/Structural Eng.\Drafting
Product Description:
MicroArchitect is a 2D/3D architectural package that can be used in
all phases of a project from schematic design through the completion of
construction documents. Some of MicroArchitect's features include:
* Doors, windows, beams, columns, plumbing, and drawing symbols
such as elevation, section, leader lines, and addenda clouds.
* A dBASE database maintains attributes associated with graphic
elements. The database is used to produce quantity takeoffs, schedules,
project file listings and area takeoffs.
* Data attributes associated with graphic elements can be created
"on the fly" while in MicroStation.
* A single command creates a 3D model of a floor plan.
Configuration Data:
not available
Pricing Information:
$2200.00 US
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
MicroArchitect HVAC
IDE303660000 DAR
Ideagraphix, Inc.
1231-B Collier Rd.
Atlanta, GA 30318
FAX (404) 352-5312
Industry:
Architectural/Structural Eng.\Environment Eng & HVAC
Architectural/Structural Eng.\Drafting
Architectural/Structural Eng.\Design/Simulation/Analysis
Product Description:
MicroArchitect HVAC is used to easily draw double line ductwork.
* Edge or center line placement of ducts, transitions, conicals,
and square and radial corners.
* Ducts are automatically labeled with the duct size at the time of
placement.
* All ductwork or components in a database for quantity takeoff and
reporting.
* Graphic query capabilities make it easy to locate ductwork by an
database attribute. All MicroArchitect HVAC databases can be modified
to track any user-defined attributes. All symbology and duct sizes and
shapes are user-definable.
Configuration Data:
not available
Pricing Information:
$1500.00 US
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
MicroStation HP
CAS700660000 DAR
CAD Assistance, Inc.
4300 So. I-10 Service Rd.
#207A
Metairie, LA 70001
FAX (504) 888-3642
Industry:
Architectural/Structural Eng.\Drafting
Civil Engineering\Mapping
Civil Engineering\Roadway Design
Product Description:
CAD Assistance, Inc. is a solution integrater for users of
Intergraph MicroStation products. We provide application solutions for:
Architectural - Plant Design - Civil/Structural
Mapping/GIS - Document Control - Scanning
All applications provide an integrated solution for Intergraph
MicroStations. CAD Assistance is an authorized Intergraph Solution
Center and Intergraph Software developer.
Configuration Data:
not available
Pricing Information:
MicroStation HP $3450.00
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
MicroStation HP 700
INT358660000 Premier ISV
Intergraph Corporation
Microstation Marketing, Blg.17D-2
Huntsville, AL 35894-0001
FAX (205) 730-9491
Industry:
Architectural/Structural Eng.\Drafting
Civil Engineering\Mapping
Government\Public Works
Product Description:
MicroStation HP 700 is fast, all-purpose Computer Aided Design
software for the generation, manipulation, display, and output of
graphics data. Companies that use MicroStation or other platforms can
easily extend its power and integration capabilities to departments
using the HP 700 series workstations, preserving investments in
hardware and networking. MicroStation HP 700 is compatible with all
other versions of the software, including all design files, cell
libraries, and font libraries. Combining impressive design and easy
customization, MicroStation HP 700 offers all the advantages of an open
system, with networking, easy intergration with relational databases,
and standard file formats. Intergraph and independent software
developers offer a full complement of powerful and efficient software
applications, AEG, mechanical, mapping/GIS, civil engineering, and many
other diciplines. Mult-asking MicroStation with other applications can
expedite related tasks. MicroStation is consistant with
Hewlett-Packard's support of the OSF Motif environment (HP VUE), thus
shortening the learning curve. MicroStation takes advantage of
file-sharing network protocols, providing concurrent engineering
capability.
Configuration Data:
not available
Pricing Information:
$3450.00
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Microstation HP700
MTE622660000 DAR
Magnum Technologies, Inc.
4715 W. Main
Belleville, IL 62223
Greg Blake (618) 277-9833
Industry:
Architectural/Structural Eng.\Drafting
Civil Engineering\Roadway Design
Mechanical Engineering\Mechanical Design
Product Description:
Intergraph's MicroStation HP700 is fast, all-purpose CAD software
for the generation, manipulation, display and output of graphics data.
Combining impressive design power and easy tailoring, MicroStation HP700
offers all the advantages of an open system, with networking, relational
databases, and standard file formats. Intergraph and independent
software developers offer a full complement of powerful and efficient
software applications in AEC, mechanical, mapping/GIS, civil engineering
and many other disciplines. User's will find MicroStation consistent
with Hewlett-Packard's support of OSF Motif environment, thus shortening
the learning curve.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
PIPEPLUS+
IDE303660000 DAR
Ideagraphix, Inc.
1231-B Collier Rd.
Atlanta, GA 30318
FAX (404) 352-5312
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Architectural/Structural Eng.\Drafting
Mfg / Ind Specific Solutions\Chemical Manufacturing
Product Description:
PIPEPLUS+ is a high-speed, easy-to-use, 3D piping solid modeling
package. It contains a complete library of standard piping component
cells. Specialized cells can be created by the user. Single line
isometric drawings with bill of material information are created
automatically from the model. These drawings can be customized as
either fabrication spool sheets or field erection isos. Material take
offs are generated using either Oracle of dBASE.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
PLAN
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Architectural/Structural Eng.\Drafting
Product Description:
PLAN produces floor and ceiling plans as well as construction
quality drawings for architects, space planners, and facility managers.
Wall, door, window and other architectural elements can be interactively
placed using comprehensive menu functions. Door, window and room finish
schedules can be generated per user-specified formats. Plan has an
easy-to-use on-screen menu interface and is integrated with GDI. New
are the intelligent door and window jams, whose size can be
automatically adjusted. A 3D model can be automatically generated from
2D floor plan. Also new 3D staircases and handrails are included. Both
English and metric units are supported.
Prerequisites: MID 5000 Graphics Software
Recommended: Interactive Hidden Line Removal Shading Options for
visualization
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
PLANT/CMS
CON300660000 VAR
Construction Systems Assoc.,Inc.
1090 - #290 Northchase Parkway
Marietta, GA 30067
Chuck Winter (404) 955-3518
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Construction: Non-residential\Construction Management System
Product Description:
Construction Systems Associates, Inc. provides Industrial and
Utility plant configuration 3-D modeling and plant database software
solutions. CSA also provides modeling and database building services
using these software solutions.
CSA's software provides a means to model physical structures and
logical representations of process, power and industrial plants,
offshore platforms, ships, and other complex congested facilities.
Large volumes of non-geometric data may also be attached to model
components using CSA data management facilities. Interfaces to
structure, pipe stress analysis scheduling, and database management
systems are also available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Professional Services
MIN146660000 DAR
Mega-Systems Integration Inc.
2320 Brighton Henrietta
Town Line Road
Rochester, NY 14623
William J. Betteridge (716) 475-9770
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Mechanical Engineering\Solids Modeling
Product Description:
Mega-Systems Integration (MSI) is a professional services
organization delivering advanced design, engineering and manufacturing
solutions to manufacturers throughout the United States. The company's
product and service offerings are focused on time to market (TTM) and
total quality management (TQM) requirements as they relate to systems.
This philosophy supports cohensive process development, generates
sustainable advantages, and promotes true integration with client
business objectives. The company provides systems analysis, and
integration services, software and hardware to its market. Core
products and services are selected based on best-in-class solutions and
access to the full complement of supplier resources. Additionally, MSI
maintains a suite of industry alliances established by prior performance
and distinctive competency in specific desciplines. this enables
mega-systems integration to uniquely apply a broad spectrum of
qualified, world class expertise to customer requirements.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
QuickCells
IDE303660000 DAR
Ideagraphix, Inc.
1231-B Collier Rd.
Atlanta, GA 30318
FAX (404) 352-5312
Industry:
Architectural/Structural Eng.\Drafting
Architectural/Structural Eng.\Electrical Layout/Design
Architectural/Structural Eng.\Design/Simulation/Analysis
Product Description:
Written wholly in MDL for use with MicroStation, QuickCells
represents a dramatic improvement over outdated matrix menus. Operators
can easily select, create, modify, and place cells using icon selection
buttons and placement tools. Extensive cell libraries are alreasy
contained within QuickCells; an infinite number of blank cell palettes
allows users to create their own symbology for specific applications.
Configuration Data:
not available
Pricing Information:
$99.00 US
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
SDS/2 Engineering, Design & Analysis EAD
MDA370500100 DAR-TS (TOP SELLERS)
Design Data
1033 "O" St. #324
Lincoln, NE 68508
FAX (402) 476-8278
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Architectural/Structural Eng.\Drafting
Product Description:
The Engineering, Analysis and Design (EAD) module analyzes input in
the form of a three dimensional model, designs the structure and plots
the design drawings. SDS/2 EAD'S benefits include:
* Efficient input
* User specific analysis and design criteria
* Analysis of entire structure as a unit
* Interface with detailing and estimating
* Eliminate duplication on CAD's
Design Data's EAD module allows users to inspect a structure from
any aspect and visually check the accuracy of input. in addition, any
view or partial view could be used to create design drawings that can be
enhanced by adding walls, grid markers and dimensions.
There are multiple advantages this package offers to designers.
Once a structure is designed the input is essentially complete for both
SDS/2 estimating and detailing modules which result in tremendous time
savings, flexibility and control.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 BASIC
HP9000/300 HP-UX
HP9000/400 BASIC
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
SENTRY
BES850400000 ISV
Besserman Corporation
2201 E. Camelback Rd. #208A
Phoenix, AZ 85016
Connie Sahadi (602) 264-8000
Industry:
Architectural/Structural Eng.\Environment Eng & HVAC
Mfg/Support\Material Requirements Handling
Medical/Health\Toxicology
Product Description:
The SENTRY(R) Occupational Health and Safety Surveillance System is
a data software application designed to manage occupational health,
safety, industrial hygiene, and environmental information. The system
can be implemeted on a modular basis or completely integrated and there
is a specially developed database manager for medical information.
SENTRY provides information on the workplace environment, training
needs, employee protection, employee health monitoring, cataloging
exposure factors and locations, samplings, generates accident/safety
reports to meet government compliance. Special software-design tools to
customize the application include a data dictionary, record management
system, screen manager, query system, and report writer.
Configuration Data:
not available
Pricing Information:
SENTRY License fees vary depending on CPU and number of concurrent
users
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
STAR Architecture FIRST
ARC926400000 ISV
STAR (Ridgeline Software)
23 Morning Glory
Irvine, CA 92715
Fax (714) 854-0112
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Architectural/Structural Eng.\Drafting
Product Description:
The STAR software line offers an advanced alternative to
conventional 2D and 3D CAD products. STAR architecture was conceived as
a tool for designers allowing them design in one or more 3D views
simultaneously. This initial offering provides all the functionality
required for three dimensional modeling and for the extraction of two
dimensional production drawings. STAR First includes a library manager
to simplify the storage and retrieval or 3D elements and their 2D
attributes. Comprehensive drawing cut and paste operations are also
supported as are data translators for most common file formats. STAR
First also includes advanced features for combining scanned raster
images with vector based data. The following is a partial list of the
fetures to be found in STAR First; True 3D primitives, not extrusions;
Rubber-banding of 3D and 2D elements; Parametric creation tools; Ref.
grids-orthogonal, circular and digaonal; View and scale dependent 2D
graphics associated with 3D elements; Single frame image rendering;
Associative dimensions and area calculations; Associative 2D drawings
(to 3D model); Multi-user, multi-file and multi-layer support;
Wireframe, hidden line and shaded view options; Icon based menus and
user definable screen layouts; Point and click UNIX file manager;
Translators for SCF, IGES, TIFF; Support for a variety of graphic output
devices.
Configuration Data:
not available
Pricing Information:
$5,000 to $12,500 depending on number of licenses per network
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
STAR Architecture UX
ARC926400000 ISV
STAR (Ridgeline Software)
23 Morning Glory
Irvine, CA 92715
Fax (714) 854-0112
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Architectural/Structural Eng.\Drafting
Product Description:
STAR Architecture UX is a 3D conception software package both
graphical and technical - for architectural projects, substructures and
urban planning. It allows 3D and 2D conception of a project, the
management of 3D and 2D information libraries, the drawing output, and
the file management.
Written in "C" language, STAR Architecture UX runs under the UNIX
operating system with the most advanced tools such as "Widgets" and
"X-Windows 11" window manager.
With STAR Architecture UX, it is possible to create, in space, all
of a project's building elements. These 3-D elements can have any shape
or any orientation. Each element can in itself be the image of a more
detailed group of elements recorded in a library. The 2-D information,
such as symbols, dimensions, dressings and hatchings, can also be added
to this 3-D data in any spatial plane.
The advantages of this package are: Multitasking, Multiwindowing,
Direct work in perspective (even with hidden lines), Use of explicit
cascade pop-up menus simplifying training, Concept of building both
graphical and technical component catalogues making it possible to
utilize automatic drawing output and bill of materials.
Screen messages and manuals are available in English,
Configuration Data:
Plotter, Printer
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
STAR Architecture UX1 (2D)
ARC926400000 ISV
STAR (Ridgeline Software)
23 Morning Glory
Irvine, CA 92715
Fax (714) 854-0112
Industry:
Architectural/Structural Eng.\Drafting
Architectural/Structural Eng.\Electrical Layout/Design
Graphics\Graphical Output (general)
Product Description:
STAR Architecture UX1 is a specialized 2-D system for creating
details and symbols with greater sophistication, and for modifying
drawings which have been produced and recorded with STAR Architecture
UX. Actually, it is an effective specialization of STAR Architecture
UX. It can also be purchased and exploited independently as the first
application of a STAR workstation.
The data generated by STAR Architecture UX1 is not limited and can
be distributed into 999 independent layers.
The "symbol" notion is used to constitute sets of data re-usable in
other drawings.
The "specific" function gives access to programs developed by users
themselves.
Exchange formats are available: DXF and IGES, IGDS for topographic
maps and optional formats of cartographic bases.
Configuration Data:
Plotter (Star Architecture UX)
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
STAR Architecture UX2 (Site)
ARC926400000 ISV
STAR (Ridgeline Software)
23 Morning Glory
Irvine, CA 92715
Fax (714) 854-0112
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Civil Engineering\Mapping
Product Description:
STAR Architecture UX2 can be used as a compliment of STAR
Architecture UX to view a set of buildings or infrastructures in their
urbanistic or topographic context. This application simplifies
collecting the characteristic lines of the topographic environment and
the digital model of the site. Digitizing is possible from the most
standard models of digitizers.
Digitizing and building operation can be completed by a series of
processes related to leveling. The numerical site model thus obtained
enables different projects to be integrated from a planimetric and
levelling aspect.
The operator may obtain plan views and perspectives of the site and
of the ground surface. Commands can be used to modify or shape the
terrain, and also, to help the architect or urbanist to implant the
building or infrastructure created with STAR Architecture UX.
Exchange formats are available: DXF and IGES, IGDS for topographic
maps and optional formats of cartographic bases.
Configuration Data:
Digitizer A to E size Star Architecture UX
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
STAR Architecture UX3 (Bill of Quantity)
ARC926400000 ISV
STAR (Ridgeline Software)
23 Morning Glory
Irvine, CA 92715
Fax (714) 854-0112
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Product Description:
STAR Architecture UX3 is the "Bill of Quantities" specialization of
STAR Architecture UX, where catalogues of technical components can be
defined to establish a relationship between a list of recorded
construction articles and the three dimentional mock-up of the project.
With this additional work in multitasking operation, STAR
Architecture UX3 produces automatically bill of quantities and price
surveys carried out for the entire project or for an individual part,
selected by criteria such as layer, technical parameter or sorting
codes.
Automated calculation of quantities is based on setting up a
library of technical components actually created in parallel with the
graphical components. Hence, they express the decomposition of a
project's constitutive building elements into articles and materials.
Calculation standard and the method of estimating quantities are
expressed through mathematical or testing formulas introduced into the
library.
Articles are classified by trade and can be designated in several
different languages.
The bill of quantities can be recorded in a file transferable to
other computers or to STAR Architecture UX4 for the work specifications.
Configuration Data:
Printer, Star Architecture UX
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
STAR Architecture UX4 (Office Mgmt.)
ARC926400000 ISV
STAR (Ridgeline Software)
23 Morning Glory
Irvine, CA 92715
Fax (714) 854-0112
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Product Description:
STAR Architecture UX4, Office Automation specialization of STAR
Architecture UX adds the ultimate link to its technical performance. At
this point, a 3-D mock-up designated with STAR Architecture UX generates
absolutely all documents that an architectural office or a building
company needs to produce.
Architectural projects and components catalogues refer to a
database of descriptions. Thus, production of specifications and
descriptive bills of materials become totally automated.
By setting up a series of relationships between technical articles
to appear in the bill of quantities and text paragraphs of various
categories, user can structure the data to organize its production in a
virtually automatic mechanism.
STAR Architecture UX4 communicates with professional management
systems operating on HP workstations or other computers.
Configuration Data:
Star Architecture UX/UX3, RUNTIME UNIFACE 4th generation language.
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
STAR Architecture UX5 (Objects Mgmt.)
ARC926400000 ISV
STAR (Ridgeline Software)
23 Morning Glory
Irvine, CA 92715
Fax (714) 854-0112
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Property Management\Residential Prop. Mgmt. Sys.
Property Management\Facilities Management
Product Description:
STAR Architecture UX5, Object Management, links in a complete
interactivity the 3-D graphical data created with STAR Architecture UX
and a relational database management.
Property Managers, premises, and facilities can use UX/UX5 to
monitor, keep inventory and locate all categories of objects present in
their buildings.
A simple or relational database management system can characterize
all of these objects with information that is useful in insuring their
ideal maintenance and use which is facilitiated by the linking of the
graphic data with the alphanumeric data.
Then, the alphanumeric database management system enables the user
to produce lists of information and to locate objects using selective
criteria.
Creation of a very elaborate database is being assisted by the use
of C-ISAM access method. Optional use of UNIFACE - 4th generation
language - and optional use of ORACLE database management is also
available.
Configuration Data:
Star Architecture UX, RUNTIME UNIFACE
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
UniStar
OLD750400000 O E M
On-Line Data, Inc.
1177 Rockingham Rd.
Richardson, TX 75080
Norm McKenna (214) 238-9609
Industry:
Architectural/Structural Eng.\Design/Simulation/Analysis
Mfg / Ind Specific Solutions\Lumber/Wood Products Mfg
Product Description:
UniStar is the leading microcomputer system for wood component
fabricators. It is the only system on the market today that allows the
user to create a 3-dimensional model of a job and then utilize the model
to take-off both trusses and wall panels.
Capabilities include 3-D modeling, layout drawings, plan views,
structural analysis, cutting lists, multiple top plate views, stacking
lists, quotations, invoices, shipping tickets and management repirts.
This state-of-the-art system incorporates over 80 man years of
programming and documentation. It has been metricated and is used daily
by over 150 fabricators throughout the US, Canada, Australia and Japan.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Architectural/Structural Eng.
Victorpipe Isogen
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Architectural/Structural Eng.\Environment Eng & HVAC
Product Description:
Vectorpipe Isogen is an optional component of Vectorpipe that can
be used in place of the Vectorpipe Isometric Drafting module. It is a
menu-driven program that quickly creates isometric drawings with
automatic annotation. Vectorpipe Isogen allows Vectorpipe to interface
directly with the Alias(TM) Isogen product, which produces the actual
isometric drawing.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Civil Engineering
Accugraph Civil-Land Development
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Civil Engineering\Surveying
Civil Engineering\Roadway Design
Product Description:
An advanced land development module integrated with Accugraph
MountainTop Expert Drafting module. MTX LAND offers a comprehensive set
of data reduction and design tools suited to a wide range of Civil
Engineering Applications, organized into the following function modules:
Field - Survey not entry/edit/reduction, traverse adjustment,
two-way data collector interface, stakeout reports. para COGO - 2D and
3D coordinate geometry, cul-de-sacs and curb returns, area solutions,
resection, Euler spirals, lot closure.
Annotation - Plat dimensioning with optional auto-tabling, point
coordinate labeling and associative symbology.
Data import options include DXF and ASCII translators, digitizing,
and figure file translator.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Civil Engineering
Accugraph Civil-Road Design
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Civil Engineering\Roadway Design
Civil Engineering\Surveying
Product Description:
An advanced road design module integrated with the Accugraph
MountainTop Expert Drafting module. MTX Road Design uses a
graphically-oriented approach to Horizontal, Vertical and Transverse
Alignments. Up to six layers of cross-section information may be
extracted from MTX Site Design digital terrain models, or derived from
field notes in an electronic data entry form. Design templates may be
used to define pipes as well as roads, and alignments may be linked at
intersections to facilitate interference checking. Dynamically linked
Profile and Section windows update as PVIs are moved or Vertical Curves
are edited. Volume calculations by end area creates optional Alpha
report and Mass Haul Diagram. Sheetout for Plan, Profile and
Cross-Sections provides a wide variety of graphic, composition and
labeling options.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Civil Engineering
Accugraph Civil-Site Design
ACC799400000 Premier VAR
Accugraph Corporation
5822 Cromo Dr.
El Paso, TX 79912
FAX (915) 581-3437
Industry:
Civil Engineering\Mapping
Civil Engineering\Geographic Data Management
Product Description:
An advanced site development module integrated with the Accugraph
MountainTop Expert Drafting module. MTX SITE offers digital terrain
modeling, contouring, inter-surface merging and volumes, individual and
mass point editing, slope, analysis, slope shading, flow vectors,
perspective view, plus links to MTX ROADS, MTX 3D Solids Modeler, MTX
Parametric Modeler and MTX LAND.
Data import options include DXF and ASCII translators, contour
tracing and digitizing.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Civil Engineering
Autocad/Softdesk
UES802660000 DAR
Universal Engineering Supply Company Inc
7717-D W. 6th Ave.
Lakewood, CO 80215
FAX (303) 239-9072
Industry:
Civil Engineering\Surveying
Civil Engineering\Roadway Design
Geographic Information Systems
Product Description:
Civil Engineering/Land Surveying Integrated Solutions.
Productivity solutions by using industry leaders:
* AutoCAD
* HP VECTRA
* Training
* Softdesk
* HP 700
* Support
* Cyco
* HP Plotters
* Installation
Easy to use menu software - unique intergration from survey data
collection to design to completion.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
Product Type:
------------------------- Start of Article -----------------------------
Civil Engineering
CEAL-Civil Engineering Automation Lib.
CLM336400000 ISV
CLM/Systems, Inc.
5601 Mariner Dr. #400
Tampa, FL 33609
C.L. Miller (813) 286-8755
Industry:
Civil Engineering\Roadway Design
Civil Engineering\Mapping
Civil Engineering\Surveying
Product Description:
CEAL (Civil Engineering Automation Library) is an integrated system
of applications software for surveying, mapping, GIS, preliminary and
final designing construction quantities and layout and facilities
management. Applicable to all types of infrastructure including
highways, airports, land development, subdivisions, building sites,
landfills, mining, and utilities. Parametric modeling systems for 3D
curvilinear and spatial objects, including roads, bridges, tunnels,
transit, and utilities. Geometric intersection, clearance, layouts and
offset problems. High precision volume computation by finite element
method. Surface modeling by advanced Digital Terrain Model (DTM).
Construction of cross-section profile, and contour models from DTM.
Field survey and photogrammetric data processing to create Digital Map
Models. Interactive graphics editing of digital map models. Automated
design and plan/profile/section document plotting for highway as
required by state DOTs. Support of complex templates, superelevation,
transitioning, multiple materials. Roadways constrained to match
independent alignments, profiles, and 3D chains. Smoothing of existing
roadway profiles. CEAL can be customized by the user via SPECs
configuration feature to meet the unique design and drafting
requirements of each client. Interfaces are available to transfer data
to and from survey data recorders, CAD, GIS, and other programs,
systems, and devices. CEAL is based on a single common database,
unified graphics, and flexible user interfaces. CEAL includes CLM
COGO/TOPO/ROADS/GRAFX/UTIL and CARTA/C DTM.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Civil Engineering
DESKTOP-VIP
ITE000660000 VAR
Western Atlas Software
10205 Westheimer Rd.
Houston, TX 77042
Randal McCorvey (713) 972-4600
Industry:
Civil Engineering\Reservoir Management
Product Description:
DESKTOP-VIP links various modules of Western Atlas Software with
the interactive graphics input and output system, EXECUTIVE-ASSISTANT.
DESKTOP-VIP options provide complete capabilities for modeling
reservoirs with the following characteristics:
* Reservoir fluids ranging from black-oil to dry gas including
highly volatile oils and gas condensates.
* A variety of recovery processes including water flood,
hydrocarbon gas, injection, and inert gas injection and recycling.
The models available for DESKTOP-VIP are DT-BLACK-OIL,
DT-COMPOSITION, and DT-DOMBINATION.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Civil Engineering
EXECUTIVE-ASSISTANT
ITE000660000 VAR
Western Atlas Software
10205 Westheimer Rd.
Houston, TX 77042
Randal McCorvey (713) 972-4600
Industry:
Civil Engineering\Reservoir Management
Product Description:
The EXECUTIVE-ASSISTANT Workstation allows the user to perform
pre-simulation data entry and post-simulation data analysis without
tying up valuable mainframe computer resources.
Data Entry:
* Digitize data
* Accept digitized data from other sources
* Display digitized data graphically and numerically
* Edit digitized data
* Generate corner-point grids
* Check for missing or out-of-range data
Output Data Display:
* Select data display after simulation runs
* Display data in graphical form
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Civil Engineering
GWN-COGO
GWNTSM660000 DAR
GWN Systems Inc.
11133 - 124th St. #200
Edmonton, Alberta
Canada T5M 0J2
FAX (403) 453-5207
Industry:
Civil Engineering\Surveying
Product Description:
GWN-COGO provides an advanced, user friendly mapping and design
tool for the professional surveyor. It comprises of a series of
integrated softare modules designed to work as an interactive graphics
COGO package in connunction with MicroStation (2D or 3D). GWN-COGO
allows the surveyor to enter, edit and adjust survey data according to
standard geometric routines. The main mode of processing is interactive
with continuous graphic update and easy error recovery.
Supported functions include:
* automatic plotting with annotation
* curve and spiral design
* area calaculations
* alignments and audit trail generation
* reporting, transformations and batch processing
* design commands for cul-de-sacs, street intersections and right
of ways
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Civil Engineering
GWN-DTM
GWNTSM660000 DAR
GWN Systems Inc.
11133 - 124th St. #200
Edmonton, Alberta
Canada T5M 0J2
FAX (403) 453-5207
Industry:
Civil Engineering\Mapping
Product Description:
GWN-DTM is a series of integrated software modules providing
powerful interactive mapping and design capabilities for the
engineering, surveying, and mapping disciplines. Its open architecture
allows users to manipulate input and output data in ASCII. The base
module provides the facilities to manipulate a theoretically unlimited
number of spatial data points and generate and edit complex triangulated
terrain models for contour generation, profile and cross-sectioning.
Additional features include:
* template design (road design)
* surface-to-surfacr/cross section volume calculation
* slope area and drainage area analysis
* pad projection to surface/elevation
* automated profiling and cross-sectioning with fully user
definable parameters
* manipulation/creation of design profiles
* cross-sections and profiles displayed in 2D or 3D
* interactive horizontal and vertical curve design
* volumetrics using grid or contour data
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Civil Engineering
GWN-ROAD
GWNTSM660000 DAR
GWN Systems Inc.
11133 - 124th St. #200
Edmonton, Alberta
Canada T5M 0J2
FAX (403) 453-5207
Industry:
Civil Engineering\Roadway Design
Product Description:
GWN-ROAD is a comprehensive package allowing an engineer to easily
design roadway systems based on the criteria recommended by AASHTO with
the capability to override any of the recommended design values. Tables
can be interactively customized and created to meet specialized design
specifications for horizontal and vertical control including
superelevation. GWN-ROAD also provides:
* multiple design profiles, surface support
* interactive traverse layout, design profile, template design
* definition of backslopes by cut/fill depth ranges
* full enter/exit spiral and simple/complex curve support
* X-section volumetrics allowing for shrinkage
* mass diagram
* station/offset layout of X-sections
* user defined profile and X-sections graphs
* chainage equations
* fully compatible with GWN-DTM, GWN-COGO and GWN-STORM
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Civil Engineering
GWN-STORM
GWNTSM660000 DAR
GWN Systems Inc.
11133 - 124th St. #200
Edmonton, Alberta
Canada T5M 0J2
FAX (403) 453-5207
Industry:
Civil Engineering\Drainage
Product Description:
An interactive storm sewer design system. Manhole, pipe, drainage
area data is stored as dBASE III files and are linked to graphic
elements (2D or 3D). It will automatically size and place pipes in a
network system. Other features include:
* support of rational design flows, baseflow and manual input of
flows
* automatic design values can be manually overridden
* user defined design tables
* profile plots are automatically generated for selected linked
pipes
* event driven hydrologic and hydraulic calculators
* Hydraulic Grade Lines can be generated and plotte for pressure
flow conditions
* ability for designer to superimpose a higher design storm on a
pipe network systems and analyze the results
* design storm tables can be set up using IDF constants or curve
tables
* control of knowledge based design using user defined design
tables
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Civil Engineering
GeoCAPT
GEON2L660000 DAR
Georef Systems Ltd.
700 B Rupert St.
Waterloo, Ontario
Canada N2V 2B5
Fax (519) 885-4946
Industry:
Civil Engineering\Surveying
Product Description:
Georef's GeoCAPT Engineering Data Capture system provides a unique
facility for collecting land related data along the street network in
order to build and maintain a structured engineering database. The
GeoCAPT system is designed to easily interface to all major total
station makes including Geodimeter, Sokkisha, Wild, and Zeiss/Opton
allowing you to collect survey quality data. The GeoCAPT system is
concerned with more survey information, in fact GeoCAPT captures a wide
variety of information, including attributes and spatial relationships
for all of the entities of interest.
The GeoCAPT system has been designed for use both within and
outside the office environment. The menu driven user interface
simplifies the feature oriented data capture process. Customized
capture sequences can be defined for optimizing the survey process and
minimizing the number of required keystrokes. A full display facility
is available for viewing the data as it is being collected or reviewing
previously collected data back in the office. The display can be
configured for colour or grey-scale screens. The required environment
for the GeoCAPT system includes a laptop PC with a minimum of 1MB of
main RAN, a CGA graphics screen, 1 floppy disk drive and 1 hard drive.
Customized interface cable are available for all supported total station
models. Georef translators are available for translating GeoCAPT
databases into other formats including AutoCAD's DXF format.
GeoCAPT is well suited for applications such as data capture for
municipal engineering databases, project oriented data capture, AM/FM
applications and inventory management.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Civil Engineering
HORIZON Environmental LIMS
CHE276660000 ISV
Chemware, Inc.
7721 Six Forks Rd. #122
Raleigh, NC 27615
Fax (919) 846-6226
Industry:
Civil Engineering\Soil Analysis
Civil Engineering\Waste Water Management
Product Description:
With HORIZON environmental LIMS, samples are actually
"self-propelled" through the lab, meaning they progress through the lab
with a minimum of human intervention. HORIZON is a table-driven system,
which allows the laboratory to customize the system to meet its
individual requirements without programming. HORIZON uses Oracle's
relational database technology, which allows easy integration with PC
applications for user reports and quality control (QC) charting.
Features of the HORIZON Environmental LIMS include the following:
Samples can be logged in either individually or by project - The
system automatically schedules testing for a sample and calculates a
completion date. If test results or events indicate more extensive
testing is required, the system automatically schedules the necessary
tests and recalculates the completion date - Batches (sequence of
samples to run on the instruments) can be created and QC samples are
atuomatically created for inclusion in the batch. Hard copy worklists
and export files are produced - Sample schedules special pricing and
quotations are available - Instrument interfacing and automatic posting
of results - Reportable results are adjusted for dilution or change in
weight or volume. Results are also compared to client-specified or EPA
permit levels. an overall "call" can be determined, such as to indicate
whether any compounds exceed EPA permit levels - Project-level review,
reporting, and invoicing are available - Materials testing is also
supported - Final reports can be printed or transmitted electronically
by fax, modem, teleprinter, or electronic mail.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Civil Engineering
HORIZON Waste Management LIMS
CHE276660000 ISV
Chemware, Inc.
7721 Six Forks Rd. #122
Raleigh, NC 27615
Fax (919) 846-6226
Industry:
Civil Engineering\Soil Analysis
Civil Engineering\Waste Water Management
Product Description:
The HORIZON Waste Management LIMS is designed to streamline the
analytical and administrative procedures used by waste management and
recycling companies. The Waste Management LMS includes chemWare's full
Environmental LIMS. The Waste Managaement LIMS includes features to:
Define waste generators, transporters, and complete client
information required for manifesting and invoicing - Process the initial
qualification sample for a waste stream; generate the quotation ahd
shipping instructions - Schedule pickups for the company's trucks; print
manifests and drum labels - Coordinate the deliveries into the plant by
other parties - Log-in manifests and driver tickets; record any special
charges - Process drums or bulk shipments, recording characteristics and
managing the number of hazardous drums on the primises at any one time -
Track the analytical work and the activities associated with waste
acceptance and disposal - Escalate charges for waste disposal and
analytical work when waste does not conform to specifications - Arrange
the delivery of fuel by-products - Prepare invoices along with the
ceritficate of destruction and a report of analytical work - create an
export file to send billables and payables to the accounting packages -
Make information available to all levels of inquiries in the many job
functions, providing summary and detail information on generators,
transporters, manifests, truckloads, waste streams, and analytical work
- Generate the EPA Facility Annual Hazardous Waste Report and others
automatically.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Civil Engineering
Intergraph MicroStation
SYN968660000 DAR
Syncadd Systems Inc.
826 Kaheka St. #305A
Honolulu, HI 96814
Irene Motonaga (808) 941-8286
Industry:
Civil Engineering\Mapping
Architectural/Structural Eng.\Drafting
Product Description:
Intergraph MicroStation is used to service planners, designers,
developers, and faciliy managers in the area of computer aided
design/drafting/database. It is a comprehensive design tool, providing
a solid foundation for any CAD environment. MicroStation has become the
core graphics software program for Intergraph applications in AEC,
mapping, utilities and many otheer disciplines.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Civil Engineering
Intergraph Microstation HP700
TMI100660000 DAR
Titan MicroSystems Inc.
121 W. 27th St. Rm. 1202B
New York, NY 10001
FAX (212) 366-9775
Industry:
Civil Engineering\Roadway Design
Property Management\Facilities Management
Mechanical Engineering\Mechanical Design
Product Description:
MicroStation-HP700 version 4.1 is the latest incarnation of
Integraph's 2D/3D CADD software. While running on the HP 9000/700
series workstations MicroStation offers binary-level graphic design
compatibility and seamless networking integration with Intergraph's
entire line of CADD system platforms including the Integraph-Clipper,
Sun-Sparc, PC-DOS, VAX/VMS, and Apple Macintosh machines. Version 4.1's
flexibility and ease of use is linked to its natural support for
X-Windows and the OSF Motif graphical user interface standards.
Additional features include: sophisticated 3-D NURBS-surfacing,
networked reference file support, a built-in C-language compiler,
rendered imaging, and 3rd party application solutions for the AEC,
mechanical mapping/GIS, and civil engineering industries.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Civil Engineering
MOSS
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Civil Engineering\Roadway Design
Civil Engineering\Geographic Data Management
Civil Engineering\Mapping
Product Description:
MOSS is a system for surface modeling in surveying and civil
engineering where information is required on existing land forms and for
the development of new designs. The system provides facilities for
processing topographical data derived from land, air or other surveys.
It also contains geometric design features for various civil engineering
applications and can analyze 3D surface models, including extraction of
sections, contours and surface interfaces, and the computation of areas
and volumes. Graphical representation of the model, including plans,
sections and perspectives, can be generated, plotted or read directly
into Series 5000 for the production of construction drawings or for the
display and manipulation of the 3D model for design evaluation.
Prerequisites: Tables or mouse-MOSS/S5K Interface, for use with
V5000 or V5000M Graphics Software-155 Mbyte disk minimum with 348 Mbyte
preferred
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Civil Engineering
Ross Dolphin Software
RLA981660000 DAR
Ross Laboratories, Inc.
3138 Fairview Ave. E.
Seattle, WA 98102
Fax (206) 329-0250
Industry:
Civil Engineering\Surveying
Civil Engineering\Waste Water Management
Product Description:
The Ross Dolphin Software supports a fully automated multi-track or
single track Depth Survey System. The programs are complete for both
on-line data collection and post processing. The programs are menu
driven and all parameters are selectable via the keyboard for both
on-line and post processing.
The main menu sections are: System-Test, Set-Up, Run-Survey,
Edit-Survey and Plot-Survey. Raw data files include fully descriptive
header information in sufficient detail to recover all pertinent survey
information. Data elements contain raw ranges, time, vessel heading,
depth readings, tide gauge and transponder stations used. The
Plot-Survey programs are versatile and flexible. Surveys can be
precisely registered to and plotted on pre-printed, photogram-etric and
pre-digitized charts. Charts that can be produced include: Smooth
Plots, Smooth Contours, Shoal Depths, Pre-files, Color Plots, Three
Dimensional Plots, Graphic Comparisions. Volume computations can be
calculated based on both plan views data or selected cross section
profiles using the average and area method of computation. Color plots
accompany all calculations.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 BASIC
HP9000/700 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Civil Engineering
STAR Topo
ARC926400000 ISV
STAR (Ridgeline Software)
23 Morning Glory
Irvine, CA 92715
Fax (714) 854-0112
Industry:
Civil Engineering\Mapping
Product Description:
The STAR Topo product offers capabilities for the both the civil
engineer and the surveyor or cartographer. On the civil side two
modules are provided for automated roadways and railways design. Used
for the French TGV system these modules have proven track record. A
large part of any civil design involves the creation of a topological
database. The Topo product supports nearly every concievable method of
data capture. A TIN based site modeler can be used for modeling large
sites in conjunction with the roadway and railway modules. Numerous
features are provided for general map making as well.
Support for nearly all current data capture methods including GPS;
Least squares adjustments for COGO data; Extensive road and rail design
features; Volumetric calculations; Hierarchical mau symbol and legends;
Virtually unlimited project sizes; Lambert coordinate support.
Configuration Data:
not available
Pricing Information:
$5,000 to $25,000 depending on number of licenses per network and
modules required
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Civil Engineering
Surveying Software With Plotting
GIN700500100 O E M
Gulf Instrument Service, Inc.
6613 Airline Hwy.
Metairie, LA 70003
Charles F Rihner, III (504) 733-4853
Industry:
Civil Engineering\Surveying
Product Description:
Surveying and automated plotting software
Product lines are varied based upon system configuration or
routines desired.
Product 1- Wild Surveying Software Vols C,D,F,H: Hardware
requirements: Series 80, Integral, 7580B plotter Surveying field notes
are entered and translated into coordinate data. Mathematical
adjustments are made to entered data automatically. System is designed
to interface with Wild Field data collectors for totally automated
systems. Coordinate files are used as input for plotting software which
handles lot surveys, subdivision plots, mapping, digitizing, text and
certification topographic plotting, etc. Computations also available
for earthwork volume computations.
Product 2 - Lietz COGO Plus Software
Hardware requirements: IBM PC or PC-AT compatible. Software will
run on HP Vectra. Support is forthcoming for 7580 series plotters.
Other than earthwork computations, description is same as above.
Product 3 - C & G COGO software
Hardware requirements: Same as Lietz COGO Description same as
Lietz.
Configuration Data:
not available
Pricing Information:
$3,000 +
System Information:
HP 9000 BASIC
HP VECTRA MS-DOS
SERIES 80 CP/M
Product Type:
Listed
------------------------- Start of Article -----------------------------
Civil Engineering
TERRAMODEL
PII303660000 DAR
Plus III Software, Inc.
One Dunwoody Pk. #250
Atlanta, GA 30338
Kris Panks (404) 396-0700
Industry:
Civil Engineering\Mapping
Civil Engineering\Roadway Design
Civil Engineering\Surveying
Product Description:
TERRAMODEL is a complete surface modeling system for the Civil
Engineer, Land Surveyor, and Photogrammetist. TERRAMODEL combines
speed, capability and ease-of-use for a true stand-alone high
performance CADD package. The TERRAMODEL system now features the
following modules:
Basic Coordinate Geometry - CADMAP transfer - Contour - Coordinate
Geometry - Deep Mining - Drafting - DTM Cross Sections - Hydrology -
Kork Transfer - LEGAL Writer - Mapping - MicroStation Transfer - Roadway
Design - Sewer Design and Analysis - Site Design - Stero - TERRAMODEL
CADD and 3-D View. TERRAMODEL is available on MS-DOS and UNIX
workstations. Superior training and support programs are offered.
Configuration Data:
not available
Pricing Information:
Modular prices range from $995 to $1995
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Civil Engineering
Technicom Inc.
TECH4R660000 DAR
Technicom Inc.
3333 Cote Vertu #620
St. Laurent, Quebec
Canada H4R 2N1
FAX (514) 332-9072
Industry:
Civil Engineering\Surveying
Geographic Information Systems
Product Description:
AGEO family of software - Survey and data acquisition systems.
AGEO.X software - This X-Windows based survey system collects data
from total stations, adjusts observations, and carries out all
coordinate geometry using the GUI. The GUI is designed for surveyors
even though it generates a complete drawing.
AGEO.EXPERT software - Complete survey system as above in text
mode. Also available for DOS.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Civil Engineering
Testing & Inspection-Construction
ISO902500100 DAR
Innovative Solutions
350 Crenshaw Blvd. #A204
Torrance, CA 90503-1724
FAX (310) 782-2723
Industry:
Civil Engineering
Product Description:
Innovative Solutions has developed a system for Reporting and
Billing of testing and inspection services for the construction oriented
destructive/non-destructive testing laboratory. The system features
report writing for many standard tests like core samples and rebar as
well as inspection services. Automatic invoicing of work performed is
generated from the reports. This fully integrated system begins with
dispatch and continues through to the General Ledger. Tracking of labor
and purchases for specific jobs can be done to facilitate proper
billing. The system is currently being expanded to include the ability
to scan, print and archive inspectors notes. Integration with payroll
allows for reviewing of employees time against billing amounts.
Integration with the General Ledger allows for Income Statements to be
produced by functional department.
Configuration Data:
HP Software Required: TurboIMAGE
HP Hardware Required: HP3000 Classic of XL on current release.
Pricing Information:
Price varies with system configuration.
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Civil Engineering
VIP-EXECUTIVE
ITE000660000 VAR
Western Atlas Software
10205 Westheimer Rd.
Houston, TX 77042
Randal McCorvey (713) 972-4600
Industry:
Civil Engineering\Reservoir Management
Product Description:
The multifaceted, single-program reservior simulation system
supporting the following application modules:
* VIP-CORE - The initialization module of VIP-EXECUTIVE providing
user control and access to the various simulation modules.
* VIP-ENCORE - The "black-oil" simulation module of VIP-EXECUTIVE.
VIP-ENCORE is unique among black-oil simulators in that it supports
multicomponent fluid systems where phase behavior is adequately
described by pressure-dependent K-values.
* VIP-COMP - The N-component, equation-of-state compositional
module of VIP-EXECUTIVE.
* VIP-THERM - The thermal model within VIP-EXECUTIVE supporting
hot-water and steam-flood simulations.
* VIP-DUAL - The fractured-matrix model suppporting both VIP-ENCORE
and VIP-COMP.
* VIP-POLMER - The polymer model supporting VIP-ENCORE and
VIP-COMP.
* EOS-PAK - The phase-behavior model providing PVT data to the
multicomponent feature of VIP-CORE and to VIP-COMP.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Construction: Non-residential
CAMS/3000 Construction Management Sys.
PSO115500100 DAR-TS (TOP SELLERS)
Perfect Software Solutions, Inc.
151 Herricks Rd.
Garden City Park, NY 11040
Paul Sita (516) 741-1210
Industry:
Construction: Non-residential\Construction Management System
Product Description:
CAMS/3000 is a complete, integrated solution for the Commercial
Construction and project oriented company in the 5 to 100 million dollar
range.
In addition to Comprehensive Accounting and Job Costing packages,
various speciality packages are available, such as - Subcontractor
Management Inventory Control, Equipment Management, Plant Management
(for asphalt/concrete plants), Estimating and AIA Billing.
Configuration Data:
HP Software required: MPE
Pricing Information:
Bundled pricing/CPU sensitive-starting at $10,000 for core Job
Costing system.
System Information:
not available
Product Type:
------------------------- Start of Article -----------------------------
Construction: Non-residential
COINS Construction Industry Software
SCO121660000 DAR
Shaker Computer & Mgmt. Services, Inc.
50 Century Hill Rd.
Latham, NY 12110
Dorothy Bourdean (518) 786-7200
Industry:
Construction: Non-residential\Job Costing Systems
Accounting\Multifunctional Accounting Pkg
Construction: Non-residential\Construction Management System
Product Description:
COINS is an integrated Accounting System specifically designed for
the Construction Industry, providing users with very sophisticated tools
to take care of their accounting, billing, job costing, estimating,
purchase under/inventory, equipment management, and service management
needs. The first construction package to be written in a 4th generation
lanaguage (4G/L) based on a Relational DataBase Management System
(RDBMS), COINS' can be quickly and easily ported to most computers; and
its simple menu construction makes it easy to learn and use.
Modules include: Accounts Payable, Accounts Receivable, Billing,
Consolidated Financials, Estimating, Equipment Management, General
Ledger, Job Status, Off-Site Entry, Payroll, Purchase Order/Inventory,
Service Management, Human Resources.
Configuration Data:
not available
Pricing Information:
$1500 - $17,000 per Module (Class Dependent)
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Construction: Non-residential
Coins Construction Industry Software
SCO121660000 DAR
Shaker Computer & Mgmt. Services, Inc.
50 Century Hill Rd.
Latham, NY 12110
Dorothy Bourdean (518) 786-7200
Industry:
Construction: Non-residential\Construction Management System
Construction: Non-residential\Job Costing Systems
Construction: Non-residential\Project Management Systems
Product Description:
COINS is an integrated Accounting System specifically designed for
the Construction Industry, providing users with very sophisticated tools
to manage their accounting, billing, job costing, estimating, purchase
order/inventory, equipment management, and service management functions.
The first construction package to be written in a 4th generation
language (4G/L) incorporating a Relational Data Base Management System
(RDBMS), COINS' can be quickly and easily ported to most computers; and
its simple menu construction makes it easy to learn and use.
Among the COINS features are: Interactive on-screen inquiries
(Dig-Deeper(R), full reporting capabilites (including user-defined
reports), automatic recovery to prevent losses due to power or other
failures, full passwording, ability to go back to preceding periods,
on-line help, custom tailoring capabilities, and graphics.
MOdules include: Accounts Payable, Accounts Receivable, Billing,
Consolidated Financials, Estimating, Equipment Management, General
Ledger, Job Status, Off-Site Entry, Payroll, Purchase Order/Inventory
and Service Management.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Construction: Non-residential
Construction Information System
CDA917500100 DAR
Construction Data Services
4989 Santa Anita Ave.
Temple City, CA 91780-3693
Keith Gill (818) 401-0039
Industry:
Construction: Non-residential\Construction Management System
Construction: Residential\Construction Management System
Product Description:
The CDS Construction Information System contains a complete
accounting and project management system. The system was developed in
cooperation with MCBA of Glendale, CA. The MCBA Accounting Packages
were integrated to the CDS Construction Packages.
The system includes: Payroll, Payroll Accrual, Accounts Payable,
Purchase Order Control, Subcontract Control, Job Cost, Labor Control,
Change Order Tracking, Commitment Accounting, Inventory, AIA Billing,
Accounts Receivable, Fixed Assets, General Ledger, Report Writer w/micro
interface.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Construction: Non-residential
Construction Management Software
INF432660000 ISV
InfoSource Corporation
3220 Riverside Dr.
Columbus, OH 43221-1736
Fax (614) 487-1259
Industry:
Construction: Non-residential\Construction Management System
Product Description:
The Construction Management Software was developed by InfoSource to
meet the specific needs of contractors in a comprehensive yet
easy-to-use manner. Additionally, the software is designed to be used
in a multi-user environment and to be easily installed and supported in
any geographic location.
The Construction Management Software has 12 integrated modules that
are parameter driven to allow a large contractor to take full advantage
of all the features and options, while allowing a smaller contractor to
use the software with less complexity. Being multi-user by design, the
software prevents duplication of processing, and identifies which user
is already performing the process. The software also prevents attempts
to process out of sequence.
The Construction Management Software is date sensitive with real
time inquiry and reporting. This means that there are no interface
files that require updating and there are no monthly closing procedures.
A financial statement, aged trial balance, or earned revenue summary can
be produced as of a specific point in time, while allowing a project
manager to review job costs as of a specific point in time or as of the
minute, including entered but not processed transactions.
Having been reviewed by most of the "big six" accounting firms,
InfoSource's Construction Management Software has been found to provide
a high level of auditability and control.
Configuration Data:
not available
Pricing Information:
Available upon request/per module and number of users
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Construction: Non-residential
Construction Project Accounting System
CCA821400100 DAR
Ferrell Companies/CCAS
230 S. Holland St.
Lakewood, CO 80226
Fax (303) 234-5645
Industry:
Construction: Non-residential\Construction Management System
Product Description:
CCAS has specialized in providing Data Processing Services to the
Construction Industry since 1972. Programs are designed to meet the
needs of the Construction industry with specialized software for General
Contractors, Sub-Contractors, Highway and Heavy, Home Builders, and and
Developers. System is totally integrated with Accounts Payable,
Accounts Receivable, Journal Entries, Payroll and Equipment Rental
interfacing with the Job Costing, Equipment Costing and General Ledger
modules. General Ledger module can be active in more than one
accounting period at a time. Job Costing and Equipment Costing will
provide for reporting capability tied to the end of an accounting period
as well as current Job to Date Cost Information including all
transactions regardless of the accounting period they affect.
Configuration Data:
not available
Pricing Information:
Negotiable
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Construction: Non-residential
Contractor Management System (CMS)
BAI822420100 DAR
SAI Inc.
100 Summitt Lake Dr.
Valhalla, NY 10595
Dave Swartz (914) 747-1070
Industry:
Construction: Non-residential\Construction Management System
Product Description:
CMS is a comprehensive set of applications designed to manage all
aspects of job accounting. It is designed for all types of contractors
including those who must track inventories. It will also serve software
contractors. It is, in fact, our own, in house accounting system.
Applications include;
* Job Budgeting
* Purchase Order Processing
* Inventory Tracking By Job and Location
* Accounts Payable Processing
* Bank Reporting for Construction Loans
* Employee Time Entry (Billable or Non Billable)
* Payroll
* Job Billing
* Accounts Receivable
* Complete Job Status Reporting
* General Ledger Accounting and Financial Reporting
This system has evolved since 1975 and may very well be the most
comprehensive, easiest to use job accounting system available today.
Extreme effort has been invested to satisfy the operator, accountant,
and management.
Configuration Data:
not available
Pricing Information:
$30,000.00
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Construction: Non-residential
Investment Analyst
CPE180660000 ISV
Pentamation Government Sys. Div.
One Bethlehem Plaza
225 Marketplace
Bethlehem, PA 18018
Fax (215) 691-1031
Industry:
Construction: Non-residential\Construction Management System
Product Description:
Provides management of the general investment portfolio by tracking
both pooled and non-pooled investments, allows pooled investment
earnings allocations to the funds participating in the investment.
Query, statistical analysis, and reporting are provided by maturity
date, investment type, financial institution, and daily activity.
Powerful database query and report-generator capabilities are
available through Informix 4GL/SQL. Systems access may be controlled at
various security levels. The application is completely menu driven and
suitable for operation by clerical personnel.
Configuration Data:
not available
Pricing Information:
$2500 - $7500 depending upon configuration
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Construction: Non-residential
PENTA
PEN532660000 VAR
PENTA Software Company
615 E. Michigan St. #200
Milwaukee, WI 53202-5282
FAX (414) 278-0289
Industry:
Construction: Non-residential\Construction Management System
Accounting\Multifunctional Accounting Pkg
Accounting\Project Cost Management
Product Description:
PENTA is an ORACLE-based Project & Financial Management Information
System used by international A/E/C leaders to manage their jobs and
businesses more effectively and profitably. It combines decades of
industry experience with the most powerful - and flexible - computing
technology standards and delivers: POWER to manage and control projects
more profitably; POWER to unite all operations, wherever they are
located; POWER to consolidate and account for multi-company activities;
POWER to track international operations; and POWER to share information
throughout the organization; and POWER to share information throughout
the organization.
PENTA is a fully-integrated series of twelve information management
modules: General Ledger/Financial Reporting, Project Management/Cost
Control, Payroll/Labor Productivity Control, Accounts Payable, Purchase
Order Control, Accounts Receivable, Invoicing, Change Order Control,
Subcontractor Control, Fixed Asset Management, Equipment Cost Control,
and System Security.
PENTA is built upon state-of-the-art information processing
technologies: Open Systems Architecture, ORACLE SQL/RDBMS (Structured
Query Language/Relational Database Management Systems) Application Base,
CASE (Computer Aided Software Engineering) Design, and Object-Oriented
Structure.
Configuration Data:
not available
Pricing Information:
available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Construction: Non-residential
PILOT Commerical Developers System
CSC821440100 DAR
Chris Schaefer & Co.
16420 Park Ten Pl. Dr.#500
Houston, TX 77084-5052
Chris Schaefer (713) 578-9955
Industry:
Construction: Non-residential\Construction Management System
Construction: Non-residential\Job Costing Systems
Product Description:
Integrated accounting and project cost control system for
developers of commercial properties.
The PILOT Commercial Developers System is a modular, fully
integrated system consisting of modules for general ledger, financial
reporting, accounts payable, accounts receivable and construction job
cost. A payroll module is available for developers with their own work
force.
The PILOT Commercial Developers Systems allows the developer to
accurately accumulate actual costs and to impose cost controls as well
as monitor cashflow. Actual labor costs, as well as materials and
sub-contractor cost can be captured relative to the appropriate cost
categories (user-defined) and development phases. Interim financing and
construction draws are recorded and tracked, while job status reporting
includes "materials installed" status in addition to the necessary cash
flow information. PILOT provides extensive tracking of subcontractor
information, such as contracts, job progress, billings and retainage.
The developer can observe job progress from many vantage points.
He can easily quantify actual costs incurred on the job-to-date and
compare them to actual cash paid out. He can determine a percentage
completion based on financial progress and physical progress (materials
put-in-place). He can compare performance by cost category across
multiple jobs. Forecasts of cost-to-complete can be prepared on-line,
and extensive analysis of job cost status can be performed on-line.
Configuration Data:
not available
Pricing Information:
$16,000 and up - Multiple sites - 25%
System Information:
HP3000 MPE
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Construction: Non-residential
PILOT Construction Job Cost System
CSC821440100 DAR
Chris Schaefer & Co.
16420 Park Ten Pl. Dr.#500
Houston, TX 77084-5052
Chris Schaefer (713) 578-9955
Industry:
Construction: Non-residential\Job Costing Systems
Product Description:
Accounting sub-system for budgeting and monitoring projects,
analysing cost variations across projects, and forecasting project
completion costs.
The CSCO Construction Job Cost System provides an efficient means
of accumulating costs related to development and construction projects,
for management review and control. Jobs and projects are user defined
and within each job or project, the costs are separated into
user-defined cost categories. From this accumulation of costs,
management can produce a variety of reports for financial analysis,
effective project management, and cost control.
The totally integrated approach of the CSCO Construction Job Cost
System works through an automatic interface to the general accounting
systems that labor costs are accumulated from payroll, outside purchases
and sub-contracted costs are accumulated from accounts payable, and
warehoused inventory costs are accumulated from inventory control. This
system integration insures accountability of costs and reduces data
entry time and chance of error. All transactions entered into any of
the accounting sub-systems are automatically edited on-line for valid
coding, including project and cost category coding where appropriate.
The CSCO Construction Job Cost System includes the following
features:
* On-line maintenance of projects, contracts and cost categories.
* On-line editing and control of job cost estimates and cost
estimate revisions.
* On-line entry of projections and cost-to-complete, by dollar
amount or material units, with automatic forecast calculations.
* On-line inquiry and analysis of job costs.
* Progress billing can be done periodically or projects can be
billed on completion of specific work phases or at project completion.
* Direct inquiry and reporting of job status.
* Date controlled audit reports to support draws on interim
financing, when appropriate.
* Monitoring and control of sub-contractor retainage, where
applicable.
Configuration Data:
not available
Pricing Information:
$8,000
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Construction: Non-residential
ProfiTool
PRO802660000 DAR
ProfiTool Inc.
1600 Stout St. #2000
Denver, CO 80202
Tom Levandoski (303) 571-1555
Industry:
Construction: Non-residential\Construction Management System
Construction: Non-residential\Job Costing Systems
Construction: Non-residential\Project Management Systems
Product Description:
Provides integrated construction accounting business systems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Construction: Non-residential
consTRAK
SWA770660000 DAR-TS (TOP SELLERS)
System Warehouse
350 FM 1959 #105
Houston, TX 77034
FAX (713) 484-3882
Industry:
Construction: Non-residential\Construction Management System
Construction: Non-residential\Job Costing Systems
Construction: Non-residential\Project Management Systems
Product Description:
consTRAK helps you to have your finger on the Job, on the check
book, on the receivables, and on goings-on around you almost
instantaneously by pressing a few buttons. It can help you complete
jobs on time, under budget and increase profits. Modules for consTRAK
are:
Accounts Payable, Accounts Receivable, Job Billing, Cash
Management, Depreciation, Depletion and Amortization, Equipment/Asset
Management, General Ledger, COBRA Administration, Inventory Management,
Job Estimating/Costing-Project Control, Payroll & Labor Costing, Mailing
and Prospect Data, Personnel Management, Purchase Order Management,
Subcontracts, Planning & Scheduling, Production Reporting, Requisition
Order.
Configuration Data:
not available
Pricing Information:
Available upon request with specific requirements
System Information:
HP VECTRA MS-DOS
HP3000/900 MPE/iX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Construction: Residential
Construction Management System
COL193660100 Complimentary Vendor
Colonial Systems, Inc.
670 Exton Commons
Exton, PA 19341
FAX (215) 363-0727
Industry:
Construction: Residential
Construction: Non-residential
Product Description:
Construction Management System is a full-featured accounting system
meeting the needs of the project manager and the accountant. It handles
a virtually unlimited number of jobs. All modules update job costing,
build estimates based on previous jobs, import or export job information
using spreadsheets, include user-defined job reports and user-defined
financial statements, and provide consolidated reporting and multiple
open account periods and years. Modules available include general
ledger, accounts payable, accounts receivable, contract control,
subcontract control, AIA billing, equipment control, inventory control,
property management, cash basis reporting, and tenant services.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Construction: Residential
Integrated Builder System (IBS)
PIN927500100 ISV
Pharaoh Information Services, Inc.
2082 Business Ctr., Dr.
Irvine, CA 92715
Michael H. Kenyon (714) 476-1108
Industry:
Construction: Residential\Construction Management System
Product Description:
It is an automated paybook with lot by lot controls for on-site
residential lots, and line by line control for off-site purchase orders.
The system also provides automatic lein release control and protection
against overpayment on contracts. Accounts payables: provides for
recurring and automatic journal vouchers, retentions, lein release
control and joint check preparation. General ledger: features flexible
financial reporting capability and consolidation facility with
comprehensive budget and variance reporting. Cost accounting is
provided at company, project, general ledger, sub account and lot/unit
levels. Job cost: monitors actual project costs versus budgeted amounts
and pinpoints variances on a summary and line-item basis, thereby
facilitating effective project management. Flexible jobcost report
writer provides user defined formats. EZ ACCESS: Pharaoh's executive
query system gives you visability to the database at the push of a
button. Menu driven, user friendly screens, on-line validation of data,
on-line inquiry screens, multi-level security codes, flexible report
writer, comprehensive audit trails, integration of IBM PC's and 1-2-3
interface.
Configuration Data:
not available
Pricing Information:
Available upon request.
System Information:
HP3000 MPE
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Earth Resources Engineering
AP-TODAY
CPG992660000 ISV
Computer Power Group
W. 701 Seventh Ave. #140
Spokane, WA 99204
Art Hill (509) 838-3749
Industry:
Earth Resources Engineering\Maintenance Management
Mfg/Aero/Defense/Govt Contract\Maintenance Management
Mfg/Discrete\Maintenance Management
Product Description:
Accounts Payable-TODAY offers all the payables functions necessary
to support medium to large size organizations. These include multiple
company processing and consolidations, batch control, expense
distribution by actual or percent allocation, currency conversion, bank
reconciliation, automatic ledger journals, miscellaneous vendors, name
lookup, and audit trails. Document matching allows the establishment of
acceptable tolerances for automatic payment of invoices. The tolerance
can be a percentage of the invoice amount, a finite dollar amount, or a
combination of the two. Audit and security provisions are
comprehensive. Written in the TODAY Client/Server development
environment, system reports screens, and data elements can easily be
modified to meet changing business needs. Accounts Payable-TODAY is
slightly integrated with Material Management-TODAY and
Statistical/Financial Ledger, and is designed for data exchange with
other departmental and corporate systems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
DTM (Digital Terrain Modeling)
RTE071660000 DAR-TS (TOP SELLERS)
RMS Technologies Inc.
5 Eves Dr.
Marlton, NJ 08053
Paul Happner (609) 596-5775
Industry:
Earth Resources Engineering\Environmental Engineering
Earth Resources Engineering\Geologic Data Analysis
Mechanical Engineering\Solids Modeling
Product Description:
DTM is a fast, powerful and easy to use application for modeling
digital terrain data.
It offers:
* Flexibility in adding data points to a model
* Simple data input in ASCII
* Interative model editing
* Watershed analysis
DTM runs under HP-UX with X-WINDOWS and allows spike removal,
smoothing, smearing, pruning, and other enhancements to models.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
EQuIS/GWM
ETE954660000 Complimentary Vendor
Egret Technologies, Inc.
415 W. Perkins St.
Ukiah, CA 95402
Michael Pallesen (707) 468-9010
Industry:
Earth Resources Engineering
Earth Resources Engineering\Environmental Engineering
Earth Resources Engineering\Geologic Data Analysis
Product Description:
EQuIS/GWM is a commercial Ground Water Management system used to
maintain information about wells and aquifers, such as measurements of
ground water levels. The EQuIS/GWM system provides highly detailed
information about Lithologic, Geologic, Water Surface Elevations,
Construction, Filters, Soil Description, Depth, and Pump information
about wells and aquifers.
Key Features:
Water level measurements - Well construction information -
Lithologic and geologic data - Aquifiers penetrated - Agency cross
references for well IDs - Surface and reference point elevations -
Compatible with USGS NWIS - Compatible with EPA Stored System -
Compatible with geographic information systems - Compatible with
statistical systems.
Egret Technologies specializes in developing sytems that meet
government regulatory requirements.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Earth Resources Engineering
EQuIS/LAB
ETE954660000 Complimentary Vendor
Egret Technologies, Inc.
415 W. Perkins St.
Ukiah, CA 95402
Michael Pallesen (707) 468-9010
Industry:
Earth Resources Engineering
Earth Resources Engineering\Environmental Engineering
Earth Resources Engineering\Geologic Data Analysis
Product Description:
EQuIS/LAB is an Environmental Laboratory Management System used to
record, maintain and report highly detailed information regarding
environmental sammple testing, quality control tests, test methods,
projects, sites, customers, laboratory financial, and laboratory
personnel data.
EQuIS/LAB is cost effective, state of the art Laboratory Database
and Management System available that uses ORACLE and client/server
technology. With EQuIS/LAB you will be prepared to meet the
environmental demands for the next decade and beyond.
Key Features:
Compatible with EPA Storet System - Handles data for multiple
laboratories - Integrates field data - Integrated with lab instruments -
Sample audit trail - Quality control accounting - User selected analyte
identification - Analyte dependent detection limits and units -
Laboratory scheduling - User-defined preparation and test methods.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Earth Resources Engineering
EQuIS/RDB
ETE954660000 Complimentary Vendor
Egret Technologies, Inc.
415 W. Perkins St.
Ukiah, CA 95402
Michael Pallesen (707) 468-9010
Industry:
Earth Resources Engineering
Earth Resources Engineering\Environmental Engineering
Earth Resources Engineering\Geologic Data Analysis
Product Description:
EQuIS/RDB is a sophisticated information collection and storage
system for the environment. EQuIS/RDB manages information about the
quality of the earth's water, soil, and air. EQuIS/RDB manages
information about chemical, biological, and physical properties of the
environment.
EQuIS/RDB is a cost effective, state of the art environmental
information repository that uses ORACLE and client/server technology.
Key Features:
Compatible with EPA Storet System - Compatible with geographic
information systems - Compatible with statistical systems - User-defined
preparation and test methods - Manages environmental monitoring data -
Ground water samples and results - Soil, soil vapor and air samples -
User-defined collection methods quality control sample procedures -
Compatible with USGS MWIS.
Egret specializes in developing systems that meet government
regulatory requirements.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Earth Resources Engineering
EQuIS/SWM
ETE954660000 Complimentary Vendor
Egret Technologies, Inc.
415 W. Perkins St.
Ukiah, CA 95402
Michael Pallesen (707) 468-9010
Industry:
Earth Resources Engineering
Earth Resources Engineering\Environmental Engineering
Earth Resources Engineering\Geologic Data Analysis
Product Description:
EQuIS/SWM is a commercial Environmental Surface Water Management
System used to maintain and report highly detailed information regarding
surface water flow rates. EQuIS/SWM maintains information on measuring
stations, water flow rates, minimums, maximums, means, monthly and
yearly summaries, daily events, and status information or drainage
areas, rivers, streams, lakes, reservoirs, and other measurement
stations.
EQuis/SWM is a cost effective, state of the art surface water
analysis and measurement system that uses ORACLE and client/server
technology.
Key Features:
Compatible with EPA Storet System - Compatible with geographic
information systems - Compatible with statistical sytems - Quality
control sample procedures - Compatible with USGS MWIS - Integrated
environmental data management - Ground and surface water data - Ground
and surface water data - Environmental monitoring sample data -
Laboratory information management - User-defined parameters.
Egret Technologies specializes in developing systems that meet
government regulatory requirements.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Earth Resources Engineering
FAST SHIP
DES214400000 ISV
Design Systems & Services, Inc.
105 Eastern Ave. #102
Annapolis, MD 21403
FAX (410) 268-6150
Industry:
Earth Resources Engineering\Marine Engineering
Aerospace\Aeronautical Engineering
Mfg / Ind Specific Solutions\Transportation Equipment Mfg
Product Description:
FAST SHIP is a ship hull, appendage, and superstructure design
program, using NURBS surface mathematics for modeling. The designer
"sculpts" surfaces interactively on the computer, watching the hull
shape change dynamically as control points are moved in three
dimensions. Hydrostatic calculations are handled by the computer giving
the designer the power to draw the desired shape, while being assured
that target hydrostatic values are being matched. FAST SHIP is being
used worldwide for commercial and naval ship design, as well as power
and sailing yacht design. There is almost no limit to the shapes that
can be designed with FAST SHIP.
Because of the flexibility of the NURBS surface modeling technique
and FAST SHIP's powerful interface, other objects such as aircraft
automobiles, and other free-from surfaces may be easily designed and
modified with FAST SHIP.
Configuration Data:
not available
Pricing Information:
$5,900 - $11,000
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Earth Resources Engineering
HAIcarta & GEOcarte
HYD770500100 DAR
Hydrographic Assoc., Inc.
PO Box 218750
Houston, TX 77218-8750
FAX (713) 578-9539
Industry:
Earth Resources Engineering\Marine Engineering
Product Description:
A comprehensive package for vessel guidance and general
hydrographic survey.
Accepts a variety of position inputs, provides steering guidance
and generates a track plot.
Generates a full color 'electronic chart' from data files created
on board or ashore, to any scale and with a minimum of user input.
(Series 300 only) Versions available for hopper dredges, cutterhead
dredges or ferries.
Collects and records data from a variety of sensors, typically
depth, heave, magnetometer or water quality.
Provides a suite of fourteen integrated programs for processing and
editing the data into hydrographic charts or dredged volumes.
The built-in positioning simulator permits use of the on-line data
collection program in the office for training or checking out program
changes.
Suitable for vessels of any size.
Configuration Data:
HP Software Required: BASIC 5.0 or later
HP Hardware Required: Series 300 computer with color and/or mono
chrome monitors, Nimitz keyboard and any HP-IB printer, plotter, and
disc drive.
Pricing Information:
$20,000 for first, $15,000 subsequently (if no training)
System Information:
HP VECTRA MS-DOS
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
LGS
EDC802660000 ISV
EDCON, Inc.
171 S. Van Gordon
Lakewood, CO 80228-1703
Frank Willett (303) 980-6556
Industry:
Earth Resources Engineering\Geologic Data Analysis
Earth Resources Engineering\Geologic Data Management
Product Description:
LGS is a system of application programs for reduction and display
of land survey gravity and magnetics data. LGS interfaces to EDCON's
point oriented Data Base System which provides extensive data
manipulation capabilities for discreet sample data sets.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
LYNX 3D Component Modeling System
GEOV7T500100 DAR
Lynx Geosystems Inc.
400-1199 W. Pender St.
Vancouver, British Columbia
Canada V6E 2R1
Garth D Kirkham (604) 682-5484
Industry:
Earth Resources Engineering\Mining
Earth Resources Engineering\Geologic Data Analysis
Earth Resources Engineering\Geologic Data Management
Product Description:
The LYNX System consists of a technical core of SYSTEM MODULES,
surrounded by a layer of APPLICATION MODULES, which are user specific
and address the applications function's required by different mining
disciplines and environments. The system is based on LYNX's 3D
Component Modeling technology, ensuring an integrated solution and
information flow between all phases of a mining operation.
The SYSTEM MODULES are split into two groups: System Management
LYNX user interface, graphics handler, menu structure peripheral
drivers, system utilities; and Modeling Functions - 3D Component
Modeling core functions.
The APPLICATION MODULES are structured to accommodate all mining
disciplines: Information Management - For both drillhole and map data;
Geology - Data Analysis (Statistics and Geostatistics), Geological
interpretation - 3D Solid and Geostatistical Modeling, Reserves
Analysis'; Engineering - Underground and Open Pit Mine Strip Mine
Design; Planning - Mine Planning and Scheduling; Production -
Underground and Surface Mine Production Control.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
LYNX EXTENSIONS: ENGINEERING
GEOV7T500100 DAR
Lynx Geosystems Inc.
400-1199 W. Pender St.
Vancouver, British Columbia
Canada V6E 2R1
Garth D Kirkham (604) 682-5484
Industry:
Earth Resources Engineering\Environmental Engineering
Earth Resources Engineering\Mining
Product Description:
Lynx provides a range of modular workstation graphics systems which
span six major mining disciplines. Applications can be combined into
various solution configurations to suit individual company needs. Below
is a summary of the ENGINEERING applications.
Surface Geotechnics: Evaluates the stability of excavated and
natural slopes in soils and soft rock via Bishop and Janbu methods.
Underground Geotechnics: Provides a means of analyzing 3D rock
stability and slope failures around excavation geometrics.
Rock Mechanics: Allows a method of assisting in design and
stability analysis of excavations in rock via use of finite element
analysis.
Groundwater Management and Display: Facility for managing,
displaying and analyzing water well/drillhole data for environmental
groundwater monitoring.
Groundwater Seepage Analysis: Solutions to problems of nonsteady
seepage in saturated/nonsaturated porous media via finite element
analysis.
Peripheral Information:
Input Device i.e. Tablet, Mouse, Digitizer
Output Device i.e. Printer, Plotter
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
LYNX EXTENSIONS: OPERATIONS
GEOV7T500100 DAR
Lynx Geosystems Inc.
400-1199 W. Pender St.
Vancouver, British Columbia
Canada V6E 2R1
Garth D Kirkham (604) 682-5484
Industry:
Earth Resources Engineering\Geologic Data Analysis
Earth Resources Engineering\Geologic Data Management
Earth Resources Engineering\Mining
Product Description:
Lynx provides a range of modular workstation graphics systems which
span six major mining disciplines. Applications can be combined into
various solution configurations to suit individual company needs. Below
is a summary of the OPERATIONS application.
Mine Surveying: Organization, control and display of survey
information, with cut and fill, status maps and profile options.
Ventilation Analysis: Interactive design and modelling of 3D
ventilation networks for design and analysis of ventilation systems.
Peripheral Information:
Input Device i.e. Tablet, Mouse, Digitizer
Output Device i.e. Printer, Plotter
Configuration Data:
not available
Pricing Information:
Available upon Request
System Information:
HP9000/300 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
LYNX SYSTEM: EVALUATION
GEOV7T500100 DAR
Lynx Geosystems Inc.
400-1199 W. Pender St.
Vancouver, British Columbia
Canada V6E 2R1
Garth D Kirkham (604) 682-5484
Industry:
Earth Resources Engineering\Geologic Data Analysis
Earth Resources Engineering\Geologic Data Management
Earth Resources Engineering\Mining
Product Description:
Lynx provides a range of modular workstation graphics systems which
span six major mining disciplines. Applications can be combined into
various solution configurations to suit individual company needs. Below
is a summary of the EVALUATION applications.
Drill Log Compositing: Regularization of drill sample interval
information into composited intervals.
3D Solids Model and Reserves: System to allow construction and
manipulation of 3D shapes/units of complex geology and structures.
References 3D Array Modelling and Reserves for integrated geostatistical
grade estimation. Interpolation of numeric variables using Universal
kriging or Inverse Distance. Display of interpolated vaues as contours
or color coded values.
Peripheral Information: Input Device i.e. Tablet, Mouse, Digitizer
Output Device i.e. Printer, Plotter
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
LYNX SYSTEM: EXPLORATION
GEOV7T500100 DAR
Lynx Geosystems Inc.
400-1199 W. Pender St.
Vancouver, British Columbia
Canada V6E 2R1
Garth D Kirkham (604) 682-5484
Industry:
Earth Resources Engineering\Geologic Data Analysis
Earth Resources Engineering\Geologic Data Management
Earth Resources Engineering\Mining
Product Description:
Lynx provides a range of modular workstation graphics systems which
span six major mining disciplines. Applications can be combined into
various solution configurations to suit individual company needs. Below
is a summary of the EXPLORATION applications.
Drill Log Management and Display: User customized management and
display of exploration drilling, trenching and sampling information.
Map Data Management and Display: Management, display and
manipulation of map type information, including point data, contours and
features, in a variety of formats.
Geostatistics and Statistics: Mathematical analysis of geological
information via statistical/geostatistical methods.
Peripheral Information:
Input Device i.e. Tablet, Mouse, Digitizer
Output Device i.e. Printer, Plotter
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
LYNX SYSTEM: PLANNING
GEOV7T500100 DAR
Lynx Geosystems Inc.
400-1199 W. Pender St.
Vancouver, British Columbia
Canada V6E 2R1
Garth D Kirkham (604) 682-5484
Industry:
Earth Resources Engineering\Mining
Product Description:
Lynx provides a range of modular workstation graphics systems which
span six major mining disciplines. Applications can be combined into
various solution configurations to suit individual company needs. Below
is a summary of the PLANNING applications.
Strip Mine Planning: Facilities for dragline simulation and
optimizing based on gridded seam geological models.
Open Pit Mine Planning: Allows for the creation of production
sequence models of mineable blocks from a planned open pit design and
the analysis of truck/shovel performance.
Mine Activity and Resource Scheduling: Develops a timebased
schedule of production given a geological model, equipment combinations
and a sequence of mining units.
Peripheral Information:
Input Device i.e. Tablet, Mouse, Digitizer
Output Device i.e. Printer, Plotter
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
LYNX SYSTEM: DESIGN
GEOV7T500100 DAR
Lynx Geosystems Inc.
400-1199 W. Pender St.
Vancouver, British Columbia
Canada V6E 2R1
Garth D Kirkham (604) 682-5484
Industry:
Earth Resources Engineering\Mining
Product Description:
Lynx provides a range of modular workstation graphics systems which
span six major mining disciplines. Applications can be combined into
various solution configurations to suit individual company needs. Below
is a summary of the DESIGN applications.
Open Pit Mine Design: Interactive methods of pit design, in
section or plan, with mineable reserve tabulations.
3D Lerchs-Grossman Pit Optimization: Applications of the 3D
Lerchs-Grossman optimization algorithm for preliminary open pit designs.
Conical Pit Expansion: Design of surface excavations or dumps using
conical expansion design techniques.
Strip Mine Design: Interactive design of open cast mining panels,
strips and cuts, with grade/quality reserve options.
Panel Mine Design: Facility providing for interactive layout of
underground room and pillar mining for tabular deposits, with reserve
analysis.
Underground Mine Design and Reserves: Provides facilities for
designing underground layouts of development and or excavation using 3D
solids modeling.
Peripheral Information: Input Device i.e. Tablet, Mouse, Digitizer
Output Device i.e. Printer, Plotter.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
METSTAR
RTE071660000 DAR-TS (TOP SELLERS)
RMS Technologies Inc.
5 Eves Dr.
Marlton, NJ 08053
Paul Happner (609) 596-5775
Industry:
Earth Resources Engineering\Environmental Engineering
Graphics\Animation
Graphics\Image Processing
Product Description:
An environmental image management and display package, METSTAR is a
sophisticated data and image storage/display application for
environmental users such as weather briefers. It is both interactive
and automatic in displaying a wide range of visual environmental
products in digital form, including charts, radar images, satelite
images. It is designed to receive this information via data
communications channels and a rolling inventory of products are
maintained in the database automatically. METSTAR runs under HP-UX with
X-WINDOWS to provide data management image generation and interactive
display functions.
Configuration Data:
X-WINDOWS is required
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
MIMIC (TM)
SGE980500100 ISV
Sierra Geophysics, Inc.
11255 Kirkland Wy.
Kirkland, WA 98033
Brad Powell (206) 822-5200
Industry:
Earth Resources Engineering\Geologic Data Analysis
Product Description:
Geologic modeling provides the explorationist with a visual
representation of subsurface geology. Sierra's MIMIC(TM) is a complete
model building and mapping package capable of handling simple geologic
environments as well as very complex 2-D and 3-D geologic structures.
MIMIC (TM) supports contour mapping, map editing, and model
building in two and three dimensions. MIMIC (TM) models are are used in
Sierra's QUIK (TM) raytracing and QUIKLOG (R) seismic well log analysis
programs.
Configuration Data:
not available
Pricing Information:
$7,500 USDC per concurrent user (Prices are as of 3/90 and are
subject to change).
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
Mincom Information Management System
MUS802660000 VAR
Mincom USA, Inc.
999 18th St. - 26th Fl.
Denver, CO 80202
FAX (303) 297-2542
Industry:
Earth Resources Engineering\Mining
Accounting\Multifunctional Accounting Pkg
Mfg/Process\All Process Manufacturers
Product Description:
The Mincom Information Management System (MIMS) is used by medium
to large scale companies around the world to manage their operations.
It is an integrated approach to: *Plant Operations and Maintenance
*Financial Control
*Human Resource Management
*Work Management
*Materials Management
With its focus on resource optimization and cost reduction, MIMS
gives managers a unique ability to deal simultaneously with their
engineering and financial management needs. Key features include:
Work Management, Preventive Maintenance, Condition Monitoring and
Predictive Maintenance, Equipment History and Costing, Component
Tracking, Operation and Production Statistics, Inventory Control and
Purchasing, E.D.I., Blanket Orders, Application Parts Lists (B.O.M. and
Where Used), Accounts Payable and Receivable, Costing and Budgeting,
General Ledger, Fixed Assets, Capital Management, Project and Contract
Administration and Management, Drawing and Correspondence Control, Fuel
Management and much more.
Configuration Data:
not available
Pricing Information:
Pricing for MIMS varies depending upon the number of users of the
system and which modules of the system are used.
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Earth Resources Engineering
Oceanroutes
OCAB3B660000 DAR
Oceanroutes Canada Ltd.
271 Brownlow Ave.
Dartmouth, Nova Scotia
Canada B3B 1W6
FAX (902) 468-3009
Industry:
Earth Resources Engineering\Marine Engineering
Product Description:
Underwater acoustic models
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Earth Resources Engineering
Operating Control System
CPG992660000 ISV
Computer Power Group
W. 701 Seventh Ave. #140
Spokane, WA 99204
Art Hill (509) 838-3749
Industry:
Earth Resources Engineering\Maintenance Management
Mfg/Aero/Defense/Govt Contract\Maintenance Management
Mfg/Discrete\Maintenance Management
Product Description:
Computer Power Group offers full implementation and installation
support of its systems. Experienced project managers, systems analysts,
and programmer analysts work together with client staff responsible for
each functional area. Detailed specifications are followed throughout
the project phases including data conversion, user training, system
tests, and implementation. Project Management services include project
planning, resource allocation, team communications, tracking to schedule
and budget, status reporting, and follow-up activities. Training is
conducted on multiple levels to insure that each group and each
individual receives the appropriate level of preparation for effective
use of the systems. Ongoing technical support is provided via the OASIS
support system. Software updates are shipped periodically by courier on
magnetic media in a format appropriate to the target hardware
environment. Detailed instructions on installation and technical
explanations of changes are included, as are updates to documentation as
required.
Specialty Services:
* Requirements definition - Systems specifications
* Integration testing/staging - Industry specialization
* Technology consulting - Systems design
* Project management - Custom software
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Earth Resources Engineering
PHROGNAV
PEL921400000 O E M
Pelagos Corporation
9173 Chesapeake Dr.
San Diego, CA 92123
William Speidel (619) 292-8900
Industry:
Earth Resources Engineering\Marine Engineering
Civil Engineering\Mapping
Civil Engineering\Surveying
Product Description:
Shipboard system provides real-time vessel and submersible
positions for offshore survey data acquisition using any navigation
system input.
PHROGNAV is a field-proven navigation software package compatible
with Hewlett-Packard 200- and 300-series computers. It is designed to
accept up to eight lines of position from most commonly used surface and
sub-surface navigation sensors, including Loran and GPS satellite
systems. The software also accepts ultra-short baseline data for ROV
tracking. Position data may be monitored and calibrated with Transit
satellite information. Radio- location and long-baseline acoustic
chains also may be automatically calibrated if three or more LOP's are
available.
The software provides true real-time vessel and ROV tracking and
displays position dynamics meaningful to both the navigator and helmsman
with regard to overall survey objectives. System reliability and
availability are assured by interfacing navigation sensors and output
devices using standard Hewlett-Packard equipment. No "black boxes" are
required. In the event of a hardware failure, PHROGNAV has been
specially designed to handle failures gracefully and to permit continued
navigation.
Configuration Data:
HP Software Required: HPL, HPL-Plus, HP BASIC.
Other Software Required: None
HP Peripherals Required: None, but see available selection:
...depending upon user application -
HPIB Printers (resident inferface)
HPIB Plotters (resident interface)
HP Relay Actuator w/HPIB interface
B/W or color monitors (RGB) w/interface
Pricing Information:
$20,000
System Information:
HP9000/200 HPL+
HP9000/300 BASIC
HP9000/300 HPL+
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
POLY2D
EDC802660000 ISV
EDCON, Inc.
171 S. Van Gordon
Lakewood, CO 80228-1703
Frank Willett (303) 980-6556
Industry:
Earth Resources Engineering\Geologic Data Analysis
Earth Resources Engineering\Geologic Data Management
Product Description:
POLY2D is an interactive graphic two and two-and-a-half dimensional
gravity and magnetic modeling system. The calculations are useful for
interpretation as well as for survey design and feasibility studies.
The systems borehole gravity modeling.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
PROGRESS
EDC802660000 ISV
EDCON, Inc.
171 S. Van Gordon
Lakewood, CO 80228-1703
Frank Willett (303) 980-6556
Industry:
Earth Resources Engineering\Geologic Data Analysis
Earth Resources Engineering\Geologic Data Management
Product Description:
PROGRESS is a system of application programs for reduction and
display of shipborne and airborne gravity, magnetics and radiometrics
data. PROGRESS interfaces to EDCON's Line Hierarchy Data Base System
which provides extensive data manipulation capabilities for
line-oriented data sets.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
QUIK (TM)
SGE980500100 ISV
Sierra Geophysics, Inc.
11255 Kirkland Wy.
Kirkland, WA 98033
Brad Powell (206) 822-5200
Industry:
Earth Resources Engineering\Geologic Data Analysis
Product Description:
Beginning with a model of the subsurface, Sierra's QUIK (TM)
software performs raytracing in either two or three dimensions providing
the explorationist with synthetic seismic models to compare with real
data for more educated drilling decisions.
Raytracing tasks are performed by using one of the five stand alone
programs: QUIKSHOT (R)for simulating field shot records, QUIKRAY (R)
for simulating stacked seismic sections QUIKCDP (R) for simulating
common midpoint gathers, QUIKVSP (R) for simulating zero-offset and
offset VSP's, and QUIKDIF (TM)for simulating diffraction patterns.
Trace output file formats are standard SEG Y.
Configuration Data:
not available
Pricing Information:
Based on selection of programs within the QUIK (TM) family.
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
QUIKDIG (R)
SGE980500100 ISV
Sierra Geophysics, Inc.
11255 Kirkland Wy.
Kirkland, WA 98033
Brad Powell (206) 822-5200
Industry:
Earth Resources Engineering\Geologic Data Analysis
Product Description:
Sierra's QUIKDIG (R) digitizing software transforms geological and
geophysical data into formatted files which can be easily read into
Sierra's software or other vendors software. The treatment and transfer
of information to computing software from paper maps, well-logs, seismic
interpretations, cross sections, models and other data in non-digital
form, plays a vital role in the exploration process.
Configuration Data:
not available
Pricing Information:
$900 USDC per concurrent user.
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
QUIKLOG (R)
SGE980500100 ISV
Sierra Geophysics, Inc.
11255 Kirkland Wy.
Kirkland, WA 98033
Brad Powell (206) 822-5200
Industry:
Earth Resources Engineering\Geologic Data Analysis
Product Description:
QUIKLOG (R) is Sierra's stratigraphic and seismic well-log analysis
package. The program provides the explorationist with log editing
capabilities, interactive stratigraphic and structural model building
and synthetic seismic displays. Wells may be TVD or check shot
corrected, curves may be edited or blocked. Cross-sections are
correlated interactively. Synthetic seismic well responses and
cross-sections are created using user-specified interpolation
parameters. These are stored in standard SEG Y format. The 2-D well
cross sections are compatible with the MIMIC (TM) modeling and QUIK (TM)
raytracing programs. Log files from QUIKLOG (R) may also be used in
VESPA (TM) wave equation seismic modeling.
Configuration Data:
not available
Pricing Information:
$5,000 USDC per concurrent user.
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
RAYMAP (R)
SGE980500100 ISV
Sierra Geophysics, Inc.
11255 Kirkland Wy.
Kirkland, WA 98033
Brad Powell (206) 822-5200
Industry:
Earth Resources Engineering\Geologic Data Analysis
Product Description:
A primary product of geologic and geophysical investigations is the
map representing the structure of the earth at depth. Sierra's map
migration program, RAYMAP (R), produces accurate depth maps from
interpreted time maps and interval velocity estimates to position
reflecting features at their proper location, both laterally and in
depth.
RAYMAP (R) uses an inverse raytracing method for depth conversion
of unmigrated or time-migrated data in both two or three dimensions.
The program easily handles both incomplete and multivalued time maps, as
well as laterally and vertically varying velocity gradients.
Configuration Data:
not available
Pricing Information:
$12,000 USDC per concurrent user.
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
RIGWARE (Advisory Mooring Program)
IND980500100 ISV
Industrial Systems, Inc.
18720 - 142nd Ave. N.E.
Woodinville, WA 98072
Louise Walker (206) 481-6325
Industry:
Earth Resources Engineering\Marine Engineering
Mfg/Support\Facility Management
Mfg/Support\Industrial Engineering
Product Description:
Multipoint mooring advisory and analysis for floating vessels, used
both onboard and in design offices.
The advisory mooring program (AMP) is one of a family of software
packages called "RIGWARE" offered to the offshore petroleum industry for
use on floating drilling, exploration and multi-service vessels. AMP is
a full function mooring analysis tool. Offshore RIG personnel, with no
prior computer experience, can:
* Estimates static mooring line tensions and RIG position offset in
any anticipated environment.
* Superimpose the effect of wave motions on the RIG.
* Analyze the effect of different mooring patterns.
* Compute the line changes required to move the RIG to a new
location.
* Predict the effects of a line break.
* Match the analysis to current conditions and predict changes.
* Determine the effect of thruster assist.
* Practice maneuvering the RIG by coordinated operation of the
winches.
* Balance the mooring system to equalize line tensions.
Program provides both numeric and graphic type displays. Can model
up to 14 anchor lines with anchors wet at different water depths. Each
line can consist of two sections with different weight, strength, and
stretch characteristics. A clump weight can be placed between the
sections thus permitting compound mooring systems of both wire and chain
to be accurately modeled.
AMP can be used by onshore designers and potential operators to
quickly analyze the effect of hull or mooring design parameter changes
or one vessel design versus another. AMP is available in both single
RIG or fleet versions.
Configuration Data:
not available
Pricing Information:
$27,500 fleet price available on request
System Information:
HP1000 RTE
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
RIGWARE (Stability and Load Calculator)
IND980500100 ISV
Industrial Systems, Inc.
18720 - 142nd Ave. N.E.
Woodinville, WA 98072
Louise Walker (206) 481-6325
Industry:
Earth Resources Engineering\Marine Engineering
Mfg/Support\Facility Management
Mfg/Support\Special Applications
Product Description:
Calculates vessel stability from loading pattern/recommends optimum
loading - for use onboard or in design.
The Stability and Load Calculations Program (SLC) is one of a
family of software packages called "Rigware" offered to the offshore
petroleum and marine industries for use on floating vessels. SLC is a
powerful, friendly and field proven vessel stability calculator which
assist vessel operators in maintaining proper safety margins during
vessel loading and ballasting operations. Vessel operators with no
prior computer experience:
* Display the stability margin and other associated parameters
during an actual loading operation or a planned loading operation.
* Enter load changes quickly and easily.
* Print a full stability report.
* Continuously monitor all tanks, anchors and vessel stability.
* Analyze real or imagined damage conditions.
* Display recommended ballast patterns for a specified vessel
attitude.
Stability Report - At any time the operator can print a complete
summary of the vessel loading and stability conditions. The Report
format is compact and has space for comments and other manual entries
and is suitable for direct submittal to management and other interested
parties.
SLC is available in both single rig or fleet verions.
SLC is configured for a particular vessel by filling in a simple
set of forms. These define tank curves, hydrostatics, load categories
and stability limits. A single copy of the program can be used to
analyze stability on several vessels.
Configuration Data:
not available
Pricing Information:
$27,500; fleet price available on request
System Information:
HP1000 RTE
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
RigVision (RMS-1000)
IND980500100 ISV
Industrial Systems, Inc.
18720 - 142nd Ave. N.E.
Woodinville, WA 98072
Louise Walker (206) 481-6325
Industry:
Earth Resources Engineering\Environmental Engineering
Chemical/Pharmaceutical\Petrochemical
Earth Resources Engineering\Mining
Transportation\Shipping Applications
Product Description:
Equipment monitoring reporting and display for drilling rigs and
other remote installations.
The Rig Management and Supervisory System (RMS 1000) can provide
Rig supervisors tools and information to enhance many aspects of Rig
performance. ISI has developed software package that provide:
* Stability and load analysis and monitoring with alarms.
* Rig systems monitoring with alarms, display, logging and report
generation. Monitor machine room, engines, thrusters, drill floor,
environment, tanks and mooring.
* Mooring system analysis, monitoring with alarms and planning.
Vessel motion simulation for training and performance analysis on
dynamically positioned rigs.
* Transfer of information to/from shore via satellite line (Add HP
DS/1000-IV for computer to computer communication.)
* Complete shipboard inventory control. (Add HP Image/1000).
All these packages are now installed and operational on drill rigs
around the world. ISI works with individual customers to combine and
customize these modules to meet their particular requirements. When
desired we will assume complete "turn key" system responsibility. We
will provide as required, software development, the computer and its
peripherals, distributed data acquisition components, custom hardware
(electronics and sheet metal), documentation, installation assistance,
and training.
The RMS-1000 is applicable to any general monitoring problem. It
provides data acquisition, configurable displays, alarms, reports, and
off-site data transfer. The system is extremely easy to use and
reliable since it is intended for use at remote sites without technical
support.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP1000 RTE
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
SCADA Gas
FCO501400000 Premier VAR
Fisher Controls
Box 190
Marshalltown, IA 50158
Terry Miller (515) 754-3011
Industry:
Earth Resources Engineering
Chemical/Pharmaceutical\Petrochemical
Chemical/Pharmaceutical\Process Monitoring
Product Description:
Fisher Controls has been helping gas companies manage the purchase
and sale of natural gas since 1974. The complexity of the management
task and the technological advancements of modern computer systems led
Fisher to develop a supervisory control and data acquisition system
(SCADA).
Supervisory control and data acquisition is designed to help manage
the challenges of consumer and industrial energy conservation,
regulations compliance, deregulation, high labor costs, and allotment
penalties.
To meet these challenges, an automated system can optimize labor
productivity, accuracy and repeatability, record keeping, response to
changing load conditions, and balancing of supply and demand.
SCADA Gas helps you run your operation better and more profitably.
You can rely on Fisher's Gas Automation System to:
* Monitor abnormalities to avoid liabilities.
* Produce accurate reports
* Provide a data base for engineering studies.
* Help plan gas purchases and sales.
Configuration Data:
not available
Pricing Information:
Call for more information.
System Information:
HP1000 RTE
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
SCADA Water
FCO501400000 Premier VAR
Fisher Controls
Box 190
Marshalltown, IA 50158
Terry Miller (515) 754-3011
Industry:
Earth Resources Engineering
Chemical/Pharmaceutical\Process Monitoring
Chemical/Pharmaceutical\Regulatory Compliance
Product Description:
Supervisory Control and Data Acquisition for Water Management
provides the control and monitoring of the distribution and treatment of
water. Utilizing remote telemetry devices and centralized computer
control, the following features are provided:
* Water usage and critical flow conditions can be monitored and
controlled.
* State and federal regulatory requirements can be tracked.
* Automatic control of pumps based on pressure, time, or tank
level.
* Dynamic, high resolution, graphic displays depicting the
distribution system.
* On-line editing of data base and displays.
* Extensive historic archiving and report generation.
* Reservoir capacity calculations.
* User defined calculations.
* Flexible alarm handling.
* Point and shoot soft key selection.
* Interactive man-machine interface.
* Maintenance scheduling based on equipment run times.
Configuration Data:
not available
Pricing Information:
Call for more information.
System Information:
HP1000 RTE
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
SGMD
EDC802660000 ISV
EDCON, Inc.
171 S. Van Gordon
Lakewood, CO 80228-1703
Frank Willett (303) 980-6556
Industry:
Earth Resources Engineering\Geologic Data Analysis
Earth Resources Engineering\Geologic Data Management
Product Description:
SGMD is a system of programs for surface generation, manipulation,
and display. SGMD interfaces to EDCON's grid Hierarchy Data Base System
which provides extensive grid manipulation capabilities.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
SIVA (R)
SGE980500100 ISV
Sierra Geophysics, Inc.
11255 Kirkland Wy.
Kirkland, WA 98033
Brad Powell (206) 822-5200
Industry:
Earth Resources Engineering\Geologic Data Analysis
Product Description:
The critical parameter in translating seismic time to drilling
depth is velocity. Sierra's SIVA (R) is an interactive volicty analysis
program designed to assist the explorationist in determining accurate
interval velocities for depth conversion in structurally complex areas
and reliable stacking velocities for processing. SILVA displays include
seismic location maps for CMP selection, the velocity spectrum over the
chosen time interval, the semblance calculation and the moved-out CMP
gathers.
SIVA's model based interval velocity analysis removes the effects
of the overburden from the velocity analysis of an interval as the
velocity model is built in a top down iterative fashion. SIVA creates
velocity maps which can be used in Sierra's RAYMAP (R) depth migration.
Configuration Data:
not available
Pricing Information:
$12,00 USDC per concurrent user
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
STATIX (R)
SGE980500100 ISV
Sierra Geophysics, Inc.
11255 Kirkland Wy.
Kirkland, WA 98033
Brad Powell (206) 822-5200
Industry:
Earth Resources Engineering\Geologic Data Analysis
Product Description:
Proper application of surface consistent statics greatly enhances
the resolution of seismic data and improves additional processing and
interpretation work. STATIX (R) can quickly generate accurate
near-surface geologic models making the determination of surface
consistent statics a simple operation in all production environments.
Interactive first break picking, refractor assignments and near
surface model development provide a robust, surface consistent solution
to the troublesome statics problems caused by variations in the shallow
geology.
Configuration Data:
not available
Pricing Information:
$9,000 USDC per concurrent user.
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
STRATLOG (R)
SGE980500100 ISV
Sierra Geophysics, Inc.
11255 Kirkland Wy.
Kirkland, WA 98033
Brad Powell (206) 822-5200
Industry:
Earth Resources Engineering\Geologic Data Analysis
Product Description:
The rapid, accurate interpretation of geologic structure and
stratigraphy from bore hole information is critical in producing
meaningful solutions for exploration decision making. Sierra's STRATLOG
(R) is an interactive software system designed for geologic well-log
interpretation and cross section generation. STRATLOG's interpretation
capabilities include complete deviated well handling capabilities,
interactive composite log panel generation, and cross section creation
tools. Composite panels may display single or multi-track log curves,
lithology, tops, geologic time, core data, and annotation. The program
provides a complete listing of standard lithologic symbols, as well as
an optional lithologic symbol generator. Fault-gap interpolation is
also available as an option.
STRATLOG provides a facility for easy creation and correlation of
geologic cross sections. The prospect map is always accessible from any
of the three display windows. Cross section locations and well
projections are managed by the interpreter. Tops may be correlated
manually or automatically. Any or all panels from the composite log
suites may be displayed individually or with wells displayed in cross
sections. Cross-section correlations may be filled and symbolized.
Presentation quality, scaled maps, composite log displays and cross
sections are quickly and easily created.
Configuration Data:
not available
Pricing Information:
Based on configuration of product options
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
Three Dimensional Modeling
EDC802660000 ISV
EDCON, Inc.
171 S. Van Gordon
Lakewood, CO 80228-1703
Frank Willett (303) 980-6556
Industry:
Earth Resources Engineering\Geologic Data Analysis
Earth Resources Engineering\Geologic Data Management
Product Description:
EDCON's three dimensional (SURFACE) modeling programs include
forwared and inverse gravity modeling and forward magnetics modeling.
Surface filtering (FFT and convolution) programs are also available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
VESPA (TM)
SGE980500100 ISV
Sierra Geophysics, Inc.
11255 Kirkland Wy.
Kirkland, WA 98033
Brad Powell (206) 822-5200
Industry:
Earth Resources Engineering\Geologic Data Analysis
Product Description:
The increasingly sophisticated search for energy resources requires
high-quality tools for simulating seismic shot records and VSP's,
testing field array configurations and seismic processing algorithms,
for phase identification and AVO analysis. Sierra's VESPA (TM)
generates a complete wave equation solution for point-source wave
propagation in three-dimensional, plane-layered geologic structures.
VESPA calculates P and S waves, multiples of all orders, converted
phases, head waves and surface waves. The solution is stable at low and
high frequencies, in the presence of thin or thick layers and for near
and far receivers.
Configuration Data:
HP Software Required: HP-UX
Pricing Information:
$7,500 USCD per concurrent user on workstations.
$32,000 USCD on Mainframes, unlimited users (Prices are as of 3/90
and are subject to change).
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
microLYNX SPECIALIZED SYSTEMS
GEOV7T500100 DAR
Lynx Geosystems Inc.
400-1199 W. Pender St.
Vancouver, British Columbia
Canada V6E 2R1
Garth D Kirkham (604) 682-5484
Industry:
Earth Resources Engineering\Geologic Data Analysis
Earth Resources Engineering\Geologic Data Management
Earth Resources Engineering\Mining
Product Description:
Lynx also provides four specialized systems for exploration and
geotechnics applications operational on PC based hardware.
Geolog: Detailed exploration database facility, with user
controlled quantitative, qualitative and physical information. Geolog
is oriented to the management of field data with extensive manipulation,
display and plotting facilities.
Geotec: Surface and underground geotechnical analysis and display,
based on the Geolog system.
Optimizer: Three dimensional Lerchs-Grossmann Pit Optimization
System, with extensive facilities to seek out mining stages of varying
profitabilities.
Logger: User customized drill core data management of complex
exploration data, with nested intervals and extensive reporting
facilities.
Peripheral Information:
640K
Input Device i.e. Tablet, Mouse, Digitizer
Output Device i.e. Printer, Plotter
Configuration Data:
not available
Pricing Information:
Available upon Request
System Information:
HP VECTRA MS-DOS
Product Type:
Listed
------------------------- Start of Article -----------------------------
Earth Resources Engineering
microLYNX SYSTEM
GEOV7T500100 DAR
Lynx Geosystems Inc.
400-1199 W. Pender St.
Vancouver, British Columbia
Canada V6E 2R1
Garth D Kirkham (604) 682-5484
Industry:
Earth Resources Engineering\Geologic Data Analysis
Earth Resources Engineering\Geologic Data Management
Earth Resources Engineering\Mining
Product Description:
Lynx provides a range of PC based MS-DOS graphics systems which are
suited to less computationally bound mining applications. Modules can
be chosen to meet the individual company needs and can be traded in for
more powerful LYNX workstation products.
Data Management and Display: User customized management and
display of drill, traverse or blast data with extensive import/export
facilities to DBase, Lotus and laboratory formats.
Statistics, Compositing and Geological Modelling: Regularization
and compositing of string information with statistical and
geostatistical analysis. Provides a base for creating geological
interpretations with 2D or 3D display facilities.
Geological Modelling and Mine Design: System to allow geological
models to be developed using different interpolation and estimation
methods. Pit designs and reserve polygons can be delineated as a basic
planning system.
Surveyor: Basic survey data system with extensive facilities to
import from survey instruments for display and volumetric calculations.
Uses triangulation for area and volume tabulations.
Peripheral Information:
640K, EGA Card
Input Device i.e. Tablet, Mouse, Digitizer
Output Device i.e. Printer, Plotter
Configuration Data:
not available
Pricing Information:
Available upon Request
System Information:
HP VECTRA MS-DOS
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ABEL
DIO980660000 ISV
Data I/O
10525 Willows Rd. N.E.
Redmond, WA 98052
Fax (206) 882-1043
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Data I/O's ABEL and ABEL-FPGA design tools are the industry
standard for the design of PLDs, Complex PLDs and FPGAs. Data I/O
pioneered the use of Device Fitter technology which provides device
specific synthesis and optimization for complex PLDs and FPGAs. Product
features include: a wide range of behavioral entry methods, automatic
device selection, logic optimization, and functional simulation. Links
to all major CAE vendors add capability for VHDL and Verilog design
entry and simulation. Biannual updates deliver new features and the
latest in device support.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 2600 GDSII to Pattern Gen. Postpro.
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
ASM 2600 fractures GDSII format data into pattern generator code
for IC, hybrid and MCM masks. Outputs are available for Mann 3000, Mann
3600 and Electromask machines. IC layout software and hybrid layout
programs output GDSII stream data. ASM 2600 consists of the following
modules
GDS21 fracturing the GDSII stream data
EMASK Electromask output module
MMASK Mann output module
PGCAM PG Viewing module
PG2GDS Reverse Translator
The fracturing algorithms handle all angle data and the GDSII
stream file does not need to be flattened. Also available is a module
called PGTIME/PGSORT which is used by the PG operator to estimate PG
time and to resort PG files for maximum throughput.
Configuration Data:
not available
Pricing Information:
$5000 PC; $7500 Unix
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 3500 DXF to GDSII Bidirect. Trans.
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
ASM 3500 is a bidirectional translator between Calma GDSII stream
and AutoCAD's DXF file format. This powerful translator can be used to
connect IC design databases to mechanical drawing software applications
such as HP's ME-10 and ME-30. One can also convert from DXF to GDSII;
for example when designing micromachines using mechanical drawing
software to be realized in silicon.
The GDS2DXF module lets the designer select specific structures and
layers to convert minimizing the DXF file size. Both modules are
regularly updated to support revisions in GDSII and DXF file
specifications.
The DXF2GDS module includes a sophisticated line linking routine
that converts DXF lines into closed boundaries in GDSII. Incomplete
boundaries can be mapped to an "error" layer in the GDSII database.
Configuration Data:
not available
Pricing Information:
$3500 PC; $4000 UNIX
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 3600 EGS to GDSII Translator
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
ASM 3600 is a bidirectional translator between HP's EGS and Calma's
GDSII stream database. Full hierarchy is supported in both directions.
A unique linking routine converts EGS vector data into closed boundaries
in GDSII. Extensive error checking and reporting is performed on EGS
entities that cannont be translated into GDSII.
Applications for ASM 3600 include conversion of EGS drawings of
hybrids, microwave, circuits, optical encoders and MCMs into GDSII for
mask making.
Configuration Data:
$3500 PC; $4000 Unix
Pricing Information:
UNIX Version $4000 per license
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 400/410 IGES to GDSII Bidirect.Trans
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
ASM 400/410 is a bidirectional translator connecting GDSII stream
data to IGES. The purpose of the program is to help IC designers send
their chip outline and mask data to mechanical design programs that read
and write IGES. The translator consists of two moduels:
GDSII to IGES
IGES to GDSII
The user can adjust translation parameters and perform scaling
while translating - a very important function when most IC designs are
done in microns and most mechanical design in inches or mm. The
GDS2IGES module also has provision to extract specified structures and
layers enabling a designer to extract only the needed data from a large
GDSII database.
Configuration Data:
not available
Pricing Information:
$2000 per module $2600 per module floating license
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 500 DXF to Gerber Postprocessor
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
ASM 500 translates AutoCAD's DXF file format into Gerber photplot
code. The program automatically fills boundaries using multiple
apertures. ASM 500 is menu driven and easy to use for applicaitons such
as PCB and hybrid layout, chemical milling masks and silkscreens. ASM
500 supports DXF entities such as lines, arcs, polylines, blocks and
text. Circles and donuts can be automatically converted into Gerber
flashes. The GBRVU module enables the designer to preview his results
prior to sending data out for photoplotting. ASM 500 includes three
moduels:
DXF to Gerber
Gerber to DXF
GBRVU - Gerber View and Plot Utility
Configuration Data:
not available
Pricing Information:
$2000
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 530 Gerber to IGES Translator
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
ASM 530 was developed to enable mechanical designers to load data
created by printed circuit design software. By using Gerber as a
universal output from any PCB design package the mechanical designer can
load padmasters, board outlines and silkscreens into his software for
assembly drawings and documentation. ASM 530 is fully menu driven and
easy to use. The program incorporates several switches in the IGES
output so that a wide variety of mechanical software is supported. For
example, many drafting programs do not support display of lines with
width. ASM 530 can convert each Gerber draw command into an outline so
that the
Configuration Data:
not available
Pricing Information:
$795
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 600 GDSII to Gerber Postprocessor
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\IC Design
Product Description:
ASM 600 converts GDSII stream data into Gerber (RS-274) photoplot
code for microelectronic mask making. A variety of powerful IC and
hybrid layout software output mask data in GDSII stream format. Typical
applications include hybrids, multichip modules and microwave circuits.
ASM 600 includes three modules:
GDS2GBR translates GDSII stream into Gerber photoplot
GBR2GDS translates Gerber back to GDSII
GBRVU displays and plots Gerber data.
The GDS2GBR module utilizes intelligent multi aperture fill
routines to minimize the size of the Gerber file. GDSII files do not
need to be flatted. All modules incorporate easy to use menu driven
interfaces.
Configuration Data:
not available
Pricing Information:
$2000 PC; $2500 Unix
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 800 EGS to AutoCAD Translator
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Design
Mechanical Engineering\Mechanical Design
Product Description:
ASM 800 is a bidirectional translator between HP's EGS archive
drawing database and AutoCAD's DXF database. The translator enables
users of these CAD systems to quickly exchange drawing data.
Full hierarchy is supported in both directions; EGS instances are
mapped to DXF blocks and layer relationships are preserved. Text and
notes are mapped into AutoCAD as text insertions.
ASM 800 runs stand alone and does not require EGS or AutoCAD to
operate.
Configuration Data:
not available
Pricing Information:
$995 PC, $1500 Unix
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 900 EGS to IGES Translator
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Design
Mechanical Engineering\Mechanical Design
Product Description:
ASM 900 is a bidirectional translator between HP's EGS archive
drawing database and IGES. The IGES database is used as a neutral file
for data exchange between various mechanical CAD programs. Full
hierarchy is supported in both directions. Layer and instance
information is preserved.
Configuration Data:
not available
Pricing Information:
$995 PC; $1500 Unix
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
AURORA
TMO943660000 ISV
Technology Modeling Associates, Inc.
3950 Fabian Wy.
Palo Alto, CA 94303
Milan Lazich (415) 856-8862
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
AURORA is a general-purpose program that accurately fits
mathematical models to measured or simulated data. It is especially
useful for extracting parameters for circuit simulation, and it comes
with the SPICE MOS and bipolar transistors models built in. AURORA
drives most industry standard parametric test equipment, including
HP4145 A/B, HP 4142, HP 4275 capacitance meter and HP 4284 capacitance
meter. It also is compatible with external circuit simulators such
HSPICE and PSpice.
Measured or simulated data is read in flexible input format.
Parameters that minimize the related error at selected data points are
extracted by an optimization alforithm. Ratios (gains) and derivatives
of data values (conductances) may also be fit. The sensitivity of the
fit to each parameter and the dependencies among the parameters are
computed automatically. An integrated plotting capability may be used
to display measured and modeled characteristics as well as the error in
the fit.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
AccuParts
MGR970660013 Premier VAR
Mentor Graphics Corporation
1001 Ridder Park Dr.
San Jose, CA 95131-2314
Wendy Reeves (408) 436-1500
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
AccuParts is a library of symbols and simulation models that
respresent the most widely used packaged analog component parts
commercially available to board-level and system-level designers. The
library symobols are essential in design creation using Design Architect
and the models make it possible to perform analog simulation using
AccuSim.
The AccuParts library provides a selector guide for Design
Architect that makes the selection of parts easier for the designer by
allowing him to specify device characteristics which the selector guide
then uses to search the model database for a matching model.
In addition to the selector guide, there is also a transformer
creation template for Design Architect that allows the user to specify
core type, the number of windings and other significant parameters for
transformer creation. These features all combine to make the designer's
task of designing easier.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
AccuSim
MGR970660013 Premier VAR
Mentor Graphics Corporation
1001 Ridder Park Dr.
San Jose, CA 95131-2314
Wendy Reeves (408) 436-1500
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
AccSim is an analog simulator that allows designers to analyze
analog system, board, and IC level designs created by Design Architect.
It also supports the electrical evaluation of physical design parasitics
that have been extracted from the physical design.
AccSim's broad range of model support, which includes System
Modeling Blocks, non-lineaar magnetic devices, digital devices and
semiconductor devices, makes it applicable to both the board and IC
designer.
System Modeling Blocks provide an intuitive, graphical high-level
design capability for the systems designer or for use in modeling more
complex device behavior. Some examples of System Modeling Block
functions are: LaPlace transfer functions, multiplier, summer,
deadband, A/D and D/A converters, etc.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Analog Interface Kit
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
The Analog Interface4 Kit (AIK) is an interactive, graphical
front-end of SPICE and SPICE-like simulators comprising a complete suite
of post-processing and analysis tools for visualizing, identifying, and
correcting results of analog and mixed-signal simulations. You can view
simulation results in real time or probe your schematic for interactive
debuggine. AIK comes complete with a sample SPICE 2G.6 simulation
kernel, documentation, and all necesssary source routines to get you up
and running quickly.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Analog Interface Kit (AIK)
MGR970660013 Premier VAR
Mentor Graphics Corporation
1001 Ridder Park Dr.
San Jose, CA 95131-2314
Wendy Reeves (408) 436-1500
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Analog Interface Kit (AIK) is a graphical front-end for spice and
spice-like simulators. It includes a complete suite of post processing
and analysis tools which allow you to visualize, identify and correct
simulation results of your analog and mixed-signal designs. It comes
complete with an example spice 256 simulation kernal and all necessary
subroutines required to integrate your own spice or spice-like
simulator.
* Complete graphical user interface for spice and spice-like
simulators.
* Extensive post process and analysis capabilities.
* Monte Carlo capability for evaluating manufacturing yield and
worst case performance.
* Interfration within the Mentor Graphics Falcon Framwork(TM) for
concurrent design.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
S/10000 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Analog Station
MGR970660013 Premier VAR
Mentor Graphics Corporation
1001 Ridder Park Dr.
San Jose, CA 95131-2314
Wendy Reeves (408) 436-1500
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Analog Station provides a complete and powerful analysis solution
that supports the entire design cycle - from schematic editing, through
analog circuit simulation, to manufacturing analysis. Analog Station
provides:
* a complete design environment for analog systems and IC designers
* powerful, easy-to-use simulation and analysis capabilities
* System Modeling Block(TM) library for system-level analog
simulation
* Optional AccuParts(TM) library with more than 4800 component
models
* extensive design and analysis capabilities for power suppply
designers
* Monte Carlo capability for evaluating manufacturing yield and
worst-case performance
* integration within the Mentor Graphics Falcon Framework (TM) for
concurrent design
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Analog Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Analog Station(TM) provides a complete and powerful analysis
solution that supports the entire design cycle - from schematic editing,
through analog circuit simulation, to VHDL capture and editing,
SimView(TM), a customizable graphical user interface, and AccuSim(TM),
and analog simulator for analog system, board, and IC level designs.
Options include the System Modeling Block(TM) library for system-level
analog simulation, the AccuParts(TM) library with more than 4800
component models. Other features include extensive design and analysis
capabilities for power supply designers, Monte Carlo capability for
evaluating manufacturing yield and worst-ease performance, and
integration within the Mentor Graphics Falcon Framework(TM) for
concurrent design.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
AutoCells
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
AutoCells(TM) assembles blocks of control logic automatically from
a netlist and a library of standard cells. It uses three or more metal
levels to route over cell areas, while channel compaction yields even
more density. Also, timing driven layout ensures that your design meets
performance goals.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
AutoLogic
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
Develop complex ASIC, FPGA, and full-custom IC designs faster than
ever before with AutoLogic(TM), the Mentor Graphics high-performance
logic synthesis tool. AutoLogic optimizes mixed-level circuit
descriptions, including schematics, netlists, state machines, trugh
tables, Boolean equations and high-level designs synthesized with the
options. AutoLogic VHDL(TM) and AutoLogic BLOCKS(TM). It then targets
the end result into your desired ASIC, FPGA, or IC technology, using the
least possible area while meeting specified timing constraints.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
AutoLogic IC
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
AutoLogic IC is an integrated high-performance logic synthesis tool
for Mentor Graphics' GDT Designer(TM). AutoLogic IC combines the most
powerful logic synthesis algorithms available today in an open synthesis
framework. Its fast algorithms and easy-to-use interface help you
design full-custom ICs faster and more efficiently than ever before.
You can optimize gate-level netlists for area and speed. And AutoLogic
IC gives you the flexibility to customize the optimization engine to
synthesize and map your custom gates. When combined with the M
synthesis option, it completes an automated top-doen path from behavior
to standard cell layout.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
AutoTherm
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Mechanical Engineering\Thermal Analysis
Product Description:
For the thermal analysis specialist or novice, Autotherm(TM)
provides patented, automatic finite-element meshing and other features
to speed the analysis of PCB and MCM designs. It automatically creates
3D models from Board Station(TM) and MCM Station(TM) databases.
AutoTherm's integrated flow modeler simulates airflow over PCBs using
your specified inlet and outlet locations. You can customize AutoTherm
by changing correlation formulas or creating special functions and
forms. You can also use transient analysis to study time-varing
phenomena like soldering processes and cooling failures.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
BLAZE
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
BLAZE is a two-dimensional semiconductor device simulator for
advanced materials. It is used in the simulation of general devices
fabricated using arbitrary semiconductors.
BLAXE includes a library of more than 40 II-VI, III-V, IV-IV,
ternary and guarternary materials. Users may also specify their own
materials BLAZE accounts for graded and abrupt heterojuncions, and
simulates structures such as HEMT's and HBT's.
All measurable DC, small-signal and large signal characteristics
can be simulated. Calculated DC characteristics include oniput
characteristics, Gummer plots, subthreshold leakage, substrate currents,
punch through voltage and breakdown behavior.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Board Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
From simple to complex printed circuit designs, Board Station(TM)
can take you all the way from schematic capture to manufacturing
drawings. Included is a hierarchical schematic editor, a graphics
editor for defining and modifying geometric parts, and interactive and
automatic packaging of logic symbols into physical components. You also
get powerful interactive and automatic tools for placement and routing.
Options include advanced autorouting technologies for both standard
(ARTROUTER(TM)) and high-speed (SMARTROUTER(TM)) topologies, in either
traditional grid-based on shape-based (gridless) methodologies which are
especially adept at layouts with multiple pin-pitch spacings. To
complete the process, Board Station helps you create MIL-spec drawings
and generate CAM outputs. All this is inlcuded in one integrated system
within the Mentor Graphics Falcon Framework(TM) for concurrent design.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Board Station 500
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Product Description:
Board Station 500(TM) is a superset of Mentor Graphics' flagship
board layout product - Board Station(R). It is the most advanced and
complete integrated high-speed design system available. The system
enables design engineers to specify a set of electrical rules such as
method of interconnect, topology constraints, allowable interconnect
delays, and impedance characteristic. The system then automatically
maps these rules into a set of physical rules for subsequent use by
powerful high-speed automatic and interactive place and route
algorithms. This design capability/methodology is key, since it enables
the physical representation to meet the electrical performance
requirements of the design. Board Station 500 features include: timing
constraint driven layout; electrical rules definition integrated with
design creation customized for high-speed design; powerful, automatic
and interacitve high-speed place an droute algorithms with on-line
design rule checking; integrated signal integrity analysis tools from
Quad Design Technolgoy, Inc. for predicting pre-route delays (PDQ), and
post-layout transmission line and crosstalk analysis (XTK); tight
integration with Mentor Graphics advanced simulation environment which
provides back annotation of interconnect delay for post-layout
simulation and itming verification.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
CAECO Designer Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
CAECO Designer Station(TM) is a high performance advanced IC layout
system that supports a broad range of IC design capabilities required
for custom and semi-custom applications including all-angle polygon and
device-level layout, real-time DRC checks, interactive compaction, and
automated interactive routing.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
CATS (Computer Aided Transcription Sys.)
TRA950660000 ISV
Transcription Enterprises, Ltd.
101 Albright Wy.
Los Gatos, CA 95030
Fax (408) 866-4839
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
CATS, Computer Aided Transcription System, is an integrated
graphical and data transcription software product used in the
semiconductor industry. Data conversion (fracturing) is supported from
design database input (CIF, GDS-II, Applicon) to any of seven electron
beam formats, various photomask inspection formats, and seven optical
pattern generator output formats. The fracturing may include scaling,
rotation, sizing, and Boolean operations. Graphical functions allow the
display and analysis of any input file or files including Jobdecks for
MEBES, Varian, and Hitachi electron beam exposure systems.
In addition to on-screen display of data and fracturing CATS offers
Versatec plotting capabilities, Jobdeck Viewing and Editing options,
conditional figure assignment and proximity correction software for
electron beam systems offering such capabilities. CATS also provides
extensive data analysis capabilities including file contents listing,
dialog recording, area and figure count calculations, and data file
syntax checking.
CATS is currently available on five platforms including HP's 9000
series 700 system.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
CR 3000
ZUK018660000 VAR
Zuken, Inc.
McCamdless Towers
3495 Freedom Cir. #1100
Santa Clara, CA 95054
Edward J. Kalenda (408) 562-0177
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
CR 3000 allows the use of a single UNIX workstation for schematic
design, printed circuit layout, simulation, auto routing, and a full
range of CAM tools that speed up the entire design process.
Software packages include:
* SWS for Schematic Capture
* AWS for Analog Simulation
* PWS for the Layout of Analog, Digital, Flexible and Hybrid
circuits
CR 3000 is built on a unique philosophy of True Curve Data, on the
screen and in the database, residing in a gridless environment. These
tools provide the designer with the ability to meet tight packaging
constraints while respecting complex manufacturing requirements. The
revolutionary "ZIP Router" available in CR 3000 is an auto-router that
is executed within the design window to speed the completion of
non-critical nets and allow the designer to concentrate on routing nets
requiring more attention.
The CR 3000 System is linked to Hewlett Packard's DCS, EGS and
ME-10 Software Packages, or full integration to exiting design
automation tools.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
CheckMate Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
Verify even the largest chip layouts with the fastest and highest
capacity tool in the industry - CheckMate Station(TM). Its greater
efficiency in memory and disk usage and polygon-data compression handles
large chip databases. Advanced algorithms improve performance by up to
3X on a single CPU. You can perform design and electrical rule
checking, parameter extraction and measurement, netlist extraction, and
layout-vs-layout and layout-vs-schematic comparisons. Redundant error
suppression and short location dramatically reduce debug time.
Optimized rule compilation provides efficient data processing. It
allows for on-line interactive execution within Mentor Graphics editors
or standalone batch processing. With its technology independence,
CheckMate Station hasa been able to verify hundreds of ICs, including
BiCMOS chips.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Code Generation System(TM) (CGSTM)
COM944660000 ISV
Comdisco Systems, Inc.
919 E. Hillsdale Blvd.
Foster City, CA 94404
Fax (415) 358-3601
Industry:
Electrical/Electronic Eng.\Signal Processing
Computer-Aided Test/Lab Auto.\Lab Data Acquisition & Control
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The Code Generaton System(CGSTM) is an option to the Signal
Processing Worksystem(TM) (SPWTM) from Comdisco Systems. With the CGS
option, SPW becomes a graphical DSP code development environment,
enabling DSP and communication designers to automatically create rapid
prototypes from their system designs. CGS automatically translates
captured signal flow block diagrams into generic C code for mainframe
CPU, or into highly optimized C for specific single-chip DSP processors.
The code may be downloaded via Ethernet to the target system, then
compiled and executed. Output signals are returned to SPW for review
and analysis. Using this method, developers can quickly and effectively
hone their designs to operate with maximum efficiency on specific DSP
hardware.
Because CGS lets SPW users generate signals and assess chip
performance in real time, users can create very elaborate "test
instruments" to generate signals that would be very expensive-if not
impossible-to produce/analyze through more conventional means. Since it
is not necessary for CGS users to purchase or build fancy test equipment
to examine new or unique designs, the savings afforded can be
considerable.
Configuration Data:
not available
Pricing Information:
$10,000
System Information:
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Compass ASIC Design Environment
OBASEVS00000 ISV
Compass Design Automation (VLSI Tech)
1865 Lundy Ave.
San Jose, CA 95131
Glen Jones (408) 433-4880
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Compass ASIC Design Tools allow system designers who have little or
no experience in designing complex ICs to efficiently design, verify,
and test semi-custom chips with first-time design success. This
first-time success is achieved by automating all four phases of the ASIC
design cycle with a comprehensive suite of tools:
Logic Design Automation: Chip Partitioning, Logic Synthesis,
Datapath, Compiliation, Simulation, Floorplanning, RAM/ROM Compilation,
Critical Path Analysis, Gate Array and Standard Cell Libraries.
Test Automation: Built-In Self-test, Functional Block Isolation,
Fault Simulation, Automatic Test Vector Generation.
Physical Design Tools: Gate Array Place Route, Block and Standard
Cell Place and Route, Full Custom Layout, DRC and ERC.
Factory Automation: Bonding Editor and Test Program Generation.
Configuration Data:
not available
Pricing Information:
$30K to $195K
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Computer-Aided Protection Engineering
EIN000400000 ISV
Electrocon International, Inc.
715 W. Ellsworth Rd.
Ann Arbor, MI 48108
FAX (313) 761-8078
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Product Description:
CAPE is an integrated set of programs designed to assist the
electric utility protection engineer with both analysis and data
management tasks. It increases his ability to examine alternatives and
does not restrict his engineering judgement. CAPE employs a graphical,
multiple-window human interface and includes a general system protection
database, a full-screen database editor, and up to nine optional modules
for analysis and reporting:
* Data Base/Editor - manages all engineering and recordkeeping data
* Short Circuit - both standard and real-life faults and outages
* Power Flow - optional realistic initial network conditions
* Coordination Graphics - distance and overcurrent displays
* One-Line Diagram - displays PF and SC results on network diagram
* Transmission Line Impendance - line constants computation
* Relay Setting - employs users own algorithms for settings
* System Simulator - time stepped-event to any fault
* Relay Checking - like SS but for a comprehensive batch review
* Setting Order Production - user designed reports
Modules are offered individually or in any viable combination
Configuration Data:
not available
Pricing Information:
Varies from US$7,500 to $41,600 first workstation, depending on
modules selected.
System Information:
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Computervision THEDA
STEL5N660000 DAR
SouthCom Technologies Inc.
2550 Argentia Rd. #207
Mississuaga, Ontario
Canada L5N 5R1
FAX (416) 567-6841
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
Computervision's THEDA family of EDA Software offers a
comprehensive solution for the design of electronics products. THEDA
offers undurpassed board-level engineering layout, and design
manufacturability features. Nothing can match our framework environment
for easy integration of software tools of choice. FRAMEWORK ENVIRONMENT
Op'in System, Seamless tool Integration, Common User Environment, Design
Mehtodology Management, Intertool Communication, CAD Framework
Initiative Member CAE Schematic Design, Symbol Libraries, Hierarchical
Nongraphic Properties, ASIC, PPGA aND PLD Design Tools, Digital
Simulation, Analog Simulation, Mixed-singal Simulation, Microwave
Simulation, Thermal Analyis, Parasitic Analysis, Worst-Case Timing
Analy: Behavioral Design, Fault Simulation, Time-domain Modeling, Noise
and Distortion Analysis, Monte Carlo Simulation, Hardware Modeling,
Graphics Waveform Display and Editor, On-Line Electricia Rules Checking,
Back-Annotation MCAE Termal Analysis, Stress Analyis, Vibration Analyis
Engineering Data Management Data Storage, Automatic Back-up and Recovery
Access Control, Project Management, Archive Management, Revision/Release
Control, Report Generation, Application Programming Interface Standards
IGES EDIF SET CCIT VDA CGM PHIGS+ CALS CFI.
Configuration Data:
not available
Pricing Information:
Available upon request
Priced at under $40,000.00 U.S.
System Information:
9000/1200 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Concentrator Solar Cell Modeling
LWJ805400100 ISV
James Assoc.
7329 Meadow Ct.
Boulder, CO 80301-3952
Dr. Larry James (303) 530-9014
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Calculates a cell I-V curve from short circuit current flux density
file.
Configuration Data:
not available
Pricing Information:
$1975-2575 call for information on combination pricing discounts
System Information:
HP 9800 9800 BASIC
HP9000/300 BASIC
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Crosstalk Toolkit (XTK)
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
Use the network simulation and analysis tools in the Crosstalk
Toolkit (XTK) to minimize signal crosstalk prior to prototypes. The
Crosstalk Field Extractor (XFX) calculates the electrical parameters of
multi-conductor configurations. Then the Crosstalk Network Simulator
(XNS) simulates inter-conductor noise generated in complex digital PCBs
and MCMs, incuding arbitary configurations of coupled lines. You are
evaluate coupling between interconnects, self and mutual inductance,
trace velocity, and forward and reverse crosstalk coefficients. For
accuracy, these tools model dielectric loss, ohmic loss, and skin
effect.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
DEPICT
TMO943660000 ISV
Technology Modeling Associates, Inc.
3950 Fabian Wy.
Palo Alto, CA 94303
Milan Lazich (415) 856-8862
Industry:
Electrical/Electronic Eng.\IC Design
Energy\Accounting
Product Description:
DEPICT simulates the topographical evolution of a device during
processing. It simulates the critical photolithography, despostion, and
etching steps required to fabricate ICs. The program can simulate the
fabrication of a wide variety of device structures composed of up to 10
separate arbitrarily shaped layers. Each layer may consist of any of 40
different materials, including single crystal silicon, silicon dioxide,
polycrystalline silicon, silicon nitride, aluminum, gallium arsenide,
sapphire and may commonly used photoresists.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
DMEGA/GUN3D - Electron Gun Analysis
EIN000400000 ISV
Electrocon International, Inc.
715 W. Ellsworth Rd.
Ann Arbor, MI 48108
FAX (313) 761-8078
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
DMEGA and GUN3D are integrated pair of programs for two-and
three-dimensional simulation of electron guns for television, microwave
tube and related applications. A steady state simulation derives the
potentials, space charge, curent density, and electron trajectories.
The triangular mesh allows the modeling of electrodes of any shape. In
GUN3D electrodes may be asymmetric. DMEGA can treat multiply gridded
electron guns, point emitters, cathode ray tubes, high-power
relativistic beams, magnetron injection guns, and multistage depressed
collectors. GUN3D can compute the outer beam deflection in
multiple-beam color TV guns. Optional random thermal velocities may be
included. DMEGA accepts periodic or piecewise-linear axial magnetic
focusing fields; options of a current-loop model or vector potential
data are available for a more accurate off-axis field. Plots of
electron trajectories, equipotentials, current density, and beam cross
section are among the graphical output available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
DSP ProCoder(TM)
COM944660000 ISV
Comdisco Systems, Inc.
919 E. Hillsdale Blvd.
Foster City, CA 94404
Fax (415) 358-3601
Industry:
Electrical/Electronic Eng.\Signal Processing
Application Dev./Software Eng.\Code Generators
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Comdisco System's DSP ProCoder is an option to the Signal
Processing WorkSystem(R) (SPWtm), a graphical, integrated software
environment for interactively designing, simulating, and implementing
DSP and communicaitons systems. The DSP ProCoder automatically
generates optimized, production quality assembly source code to program
designs created in SPW into fixed-point processing chips. The ProCoder
saves significant development time by replacing inefficient C compilers
with direct code generation. System performance is also enhanced
because ProCoder assembly code is optimized for speed, minimal memory
use, and is of hand-coded quality.
The ProCoder takes a captured block diagram and turns it into
assembly code for the Motorola 56000 DSP processor (other fixed-point
chips soon to be supported). It does this by efficiently unifying the
code associated with each individual block into one program. The
ProCoder supports a large subset of the SPW block library for this
purpose, and includes the blocks most likely to be implemented in
fixed-point hardware. Users can also add their own blocks to ProCoder's
library by utilizing a symbolic version of the target assembly language
to define the new blocks.
Configuration Data:
not available
Pricing Information:
$15,000
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
DSP Station
MGR970660013 Premier VAR
Mentor Graphics Corporation
1001 Ridder Park Dr.
San Jose, CA 95131-2314
Wendy Reeves (408) 436-1500
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
DSP Station is a complete suite of tools for designing the Digital
Signal Processing (DSP), portions of analog/digital systems. It
provides the specialized design entry, simulation, analysis,
optimization, and implementation options that are required by DSP:
* Graphical and textual design entry for filter synthesis and
signal flow graphs
* Faster, more accurate simulators, that include arithmetic
optimizations
* Multiple implementation choices from one design entry
* Optimized code for standard DSP chips from TI and Motorola
* Optimized code and ASIC configurability on GDT based core
processors
* Synthesized DSP architectures for custom ICs using either of two
cell-based libraries; one for smaller size and one for higher
performance
DSP Station can operate stand-alone but its real power comes
through Lsim and C links for full system simulation and M code cell
library links for use with GDT.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
S/4500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
DSP Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Signal Processing
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
DSP Station is a complete suite of tools for designing the Digital
Signal Processing (DSP) portions of analog/digital systems. It provides
the specialized design entry, simulation, analysis, optimization, and
implementation options that are required by DSP. DSP Station takes you
from high-level specification all the way to implementation, including
ASICs. It features special graphical and textual design entry for
signal flow graphs and filer synthesis, as well as accurate simulators
with routines for arithmetic optimization to assist your algorithm
development. Unique analysis functions with special display and
charting capabilities help you evaluate your design. You can choose
your implementation - either code generation for popular DSP chips or
custom, synthesized IC designs using bit-serial or bit-parallel
architectures. DSP Station can operate stand-alone, but its real power
comes through Lsim and C links for full system simulation and M code
cell library links for use with GDT Designer(TM). This complete design
environment also liks into the complete systems design capability of
Mentor Graphics' Falcon Framework(TM).
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
DSP-300
ARI089400000 Complimentary Vendor
Ariel Corporation
433 River Rd.
Highland Park, NJ 08904
Leslie Listwa (908) 249-2900
Industry:
Electrical/Electronic Eng.\Signal Processing
Mechanical Engineering\Mechanical System Analysis
Complementary Hardware Prod.\Array Processors
Product Description:
The DSP-300 brings high precision, high throughput, Signal
processing power to the HP Series 200/300 engineering workstation.
Combining Motorola's DSP 56001 Signal processing CPV with up to 32K
(expandable to 128K) words of zero-wait-state program and data memory,
the DSP-300 greatly accelerates compute-intensive tasks commonly
encounted in signal processing systems, Ariel-supplied software permits
complex signal processing functions; such as FFT, to be called with
single line BASIC or Pascal Code Optional HP-UX drives for C and Macro
Assembler for the OSP56001 is available.
The DSP-300 is a second generation co-processor which easily out
performs eariler designs in typical number-crunching applications. Used
as a traditional "accelerator", the DSP-200 offers superior speed (10.25
MIPS), greater accuracy (29 bit data path 56 bit accumulator), larger
Arrays, and greater flexibility than before.
The DSPFFT software module supplied with the DSP-300 provides
general purpose FFT and related functions:
* High Speed - 1024 point complex FFT in 3.39 MSEC
* High Precision - 144db/336db dynamic range
* High Throughput - Dedicated part for signal I/O
* Supports DMA and interrupts
* Compact - Occupies a single D/0 card slot
Configuration Data:
not available
Pricing Information:
DSP 300 - $2,400.00 HP-UX Drivers - $495.00 Additional 64K
memory - $745.00 Macro Assembler - $995.00
System Information:
HP9000/300 BASIC
HP9000/300 HP-UX
HP9000/300 PASCAL
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Datapath
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
DataPath(TM) is an advanced software system used to construct N bus
parallel datapaths from a library of leaf calls. Based on your input
and choice of topology, it designs and optimizes arithmetic,
datapath-type architectures comprising registers, ALUs, and multipliers.
As it optimizes bus structures, the system automatically places and
routes very dense layouts that are typically one-half to one-third the
area of equivalent standard-cell implementations. DataPath can build
several different topologies of leaf cells, so you can mix existing
leaf-cell libraries with new implementations in virtually any topology
to suit your datapath layout requirements.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Design Compiler(tm)
SYN940660000 ISV
Synopsys
700 E. Middlefield Rd.
Mt. View, CA 94043
Mark Guthrie (415) 962-5000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
The Design Compiler family is a set of second-generation logic
synthasis tools that reduce ASIC design time and circuit area and
improve timing performance. The tools accept designs from various
sources, optimize them using multide constraints simultanously, and
generates netlists, reports, and schematics. Design Analyzer provides
support for synthesis control, design management and design analysis in
a graphical environment. Based on the motif(TM) Window Manager system,
Design Analyzer has a menu-driven system for working within the Synopsys
design environment. Test Compiler, is a test synthesis solution that
automates designs for test (OFT) and provides automatic test pattern
generation (ATPG). Test Compiler integrates analysis and design for
test into the normal design process and enables design engineer to
explore trade-offs among speed, area, and testability. The VHOL System
Simulator(VSS), provides a complete simulation environment for
specifying and verifying electronic systems at the behavioral, RTC, and
gate-level. It's based on a full implementation of VHOL. The optional
Simulation Graphical Environment(SGE) adds easy to use preparations and
analysis tools to the core simulator.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
DesignWare
SYN940660000 ISV
Synopsys
700 E. Middlefield Rd.
Mt. View, CA 94043
Mark Guthrie (415) 962-5000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
The first set of DesignWare products offered by Synopsys are
Synthetic Designs. Synthetic Designs are an inventory of pre-verified
technology-independent, parameterizable functions that can be used
off-the-shelp. Synopsys has already developed in inventory of Synthetic
Designs consisting of the ALU Family, the Advanced Math Family and the
Sequential Family. these families include components such as adders,
multipliers, multiply-accumulators, FIFOs and counters.
Synopsys also provides the DesignWare Developer to allow designers
the ability to encapsulate their own design knowledge into a DesignWare
part. The DesignWare Developer integrates a custom component with the
Synopsys high-level design environment and activates high-level
optimizations such as implementation selection, resource sharing and
allocation, arithmetic optimizations and input permutation. DesignWare
Developer also provides a structured design management methodology to
help organize designs into libraries and enables the keying and
encrypting of designs for distribution.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Digital Filter Design
PAR805400000 ISV
Parametrics, Inc./ESC
PO Box 8035
Loveland, CO 80537
Bill Arrington (303) 667-4030
Industry:
Electrical/Electronic Eng.\Signal Processing
Aerospace\Military Applications
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The Digital Filter Design Software provides an easy method for the
design analysis, and study of digital filters, and discrete time
systems. The program is menu-oriented and prompt-driven for interactive
design and analysis. IIR, FIR, MA, or ARMA Digital filters can
effortlessly be designed or analyzed in minutes. Some of the design
techniques include least-squares, minmax, fourier series and
transformations include impulse-invariance, covariance-invariance, and
bilinear-z.
Input design and analysis parameters may be entered as frequency
domain specifications, as analog filter specifications, or as digital
filter specifications. The parameters may be entered from a data file,
or manually from the keyboard or CRT graphics.
Filter coefficients, impulse responses, frequency responses, and
other outputs may go to CRT, printers, and plotters. The output
coefficients may be passed to the Waveform Analysis Software Package.
Digital Filter Design is accompanied with a complete manual covereing
the theory of digital filters, user instructions, examples and
references.
Configuration Data:
HP Software Required: BASIC 3.0 or 4.0 or 5.0
Supports most HP printers and plotters
Pricing Information:
Available upon request
System Information:
HP9000/300 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
EDA Consulting Services
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Is your organization getting themost from its EDA investment? Rely
on the industry-leading expertise of the Mentor Graphpics Consulting
Services to productively implement your EDA process. We can assess,
plan, and implement a successful electronic design automation
environment, while preserving and leveraging existing investments. We
help to simplify and improve your organization and design process to
meet market the technology requirements. Based on an in-depth
assessment of current capabilities, Consulting services offers a range
of services that can help you implement an optimized design environment.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
EDA Conversion Services
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
Breaking up is hard to do, but not as tough as converting from your
existing EDA vendor to a new one. You can depend on our Conversion
Services team of dedicated technical experts and software engineers to
plan and implement a smooth, safe migrationi to Mentor Graphics. Among
other services, we can provide software to convert data from other
CAD/CAE systems into the Mentor Graphica environment. We convert
schematics from systems such as Daisy, Valid, Cadnetix, Viewlogic, PCAD,
HP and others. We can also vonvert PCB databases from Applicon,
Cadnetix, CV, Daisy, Gerber, HP, Intergraph, SciCards, Telesis, valid
and others. And if you have unique translator or interface
requirements, Conversion Services can develop custom software for your
applications. Success in electronic design demands innovative ideas,
tools to transform fhose ideas into reality, and responsive support and
services to promote productivity. For your productivity, the Mentor
Graphics Customer Success Process provides a set of core support and
value-added services designed to leverage your use of EDA technolgoy.
Unlike support and service offerings from other EDA vendors, which focus
cimply on tool usage, the components of the Customer Success Process go
beyond the use of isolated tools. We also account for the design
process and environment issues with in the tools will operate. All
these services are customized to meet your needs.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
EDI Translator Development Framework
EDA951660000 ISV
Engineering DataXpress, Inc.
5 Town & Country Village #736
San Jose, CA 95128-2026
John Eurich (408) 243-8786
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
EDIF is a data translation standard (ANSI/EIA-548-1988) by which
incompatible design automation systems can communicate. In the quickly
growing and increasingly complex world of CAE and CAD, design tools have
appeared from hundreds of commercial developers and in-house efforts,
yet few can "speak" the same language. EDIF is that language.
The EDI Translator Development Framework, created by Engineering
DataXpress, the only company totally dedicated to supporting all aspects
of EDIF, provides all of the software modules common to every translator
development project. With its use, you need only to develop the
interface to your own data. EDI provides a procedural interface to its
own intermediate database, so that you may import and export data in any
order which it most convenient. And EDI includes a full suite of EDIF
utilities to ensure the correctness of your final translator product and
optimize your EDIF data.
DataXpress recongnized that many of the same steps must be
performed by every individual or group who develops an EDIF interface,
and that the most difficult steps are usually the ones requiring an in
depth understanding of the EDIF data format. EDI was developed
specifically to give translator developers an easy way through each of
these repetitive steps, and to verify that the final translator meets
the complex EDIF standard.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
EDIF 2 0 0 Startup Kit
EDA951660000 ISV
Engineering DataXpress, Inc.
5 Town & Country Village #736
San Jose, CA 95128-2026
John Eurich (408) 243-8786
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
The kit includes a full EDIT syntax checker, a comprehensive test
set of EDIF data files, an EDIF pretty printer and an EDIF data
compression and blocking program. It also contains the official ETA
ANSI Standard Reference Manual.
SyntaxChecker - provided as an EDIF Writer OA tool. It is
compatible with the syntax of all three EDIF levels and all four Keyword
levels. It checks the syntax of all constructs in detail, exactly as
specified in the reference manual, and uses an advanced error recovery
mechanism. EDIF Tests - A comprehensive set of EDIT data files designed
to test the various types of EDIF Readers which will be written to
support the different EDIF views. The test files cover all views and
features of EDIF and were used to test the SyntaxChecker.
Pretty Print - Converts any legal EDIF files to the pretty printer
format, making it easier to create human-readible EDIF.
Compress and Block - EDIF files, if not blocked into the proper
record length, can be corrupted during data transmission. This feature
blocks EDIF files into variable of fixed lengths, squeezes out
unnecessary space and illegal characters, and yields an average of 40%
reduction in file size.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ELITE 2604
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
ELITE is a two-dimensional simulator used in the simulation of
semiconductor deposition and etch processes.
it is a general purpose 2D topography simulator that accurately
describes a wide range of deposition and etc. processes used in modern
IC technologies. These include dry etching, wet etching, APCVD LPCVD,
ion milling, metalization and reflow. Elite includes customizable and
default machine libraries of common production equipment.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Electronic CAD Software
CNA950660000 DAR
CAD National
1680 Civic Center Dr. #208
Santa Clara, CA 95050
Rick Carlson (408) 554-1004
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
CAD National is the electronic CAD industry's source for
state-of-the-art third party hardware, software, network solutions and
CAD consulting services. CAD National's advanced software product line
includes:
* A synthesis and optimication tool to map ASIC designs to an EPGA
for early production or to investigate the changes in production cost
when different gate array libraries are used.
* An extremely accurate and fast 2D/3D capacitive and industive
parasitic modeling tool.
* An AIPG tool that handles a wide variety of circuit designs and
allows the designer to achieve a high percentage of fault coverage.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Explorer Lsim
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
To help you manage the complexity of today's ICs, the Explorer
Lsim(TM) mixed-signal, multi-level simulator works at all the levels of
abstraction that you do. You can mix multiple levels of simulation
within the same design - even analog and digital algorithms to get the
optimum balance of accuracy and simulation throughput. Explorer Lsim
offers high-speed, large-capacity simulation algorithms for the gate,
switch and circuit levels. For top-down design, you can also simulate
high-level hardware description languages, including M and (optionally)
VHDL. To make simulation easy and transparent, Explorer Lsim's
Simulation Manager coordinates commercial and user developed simulation
algorithms through an open, extensible simulation backplane and a single
user interface. Explorer Lsim is fully integrated into Mentor Graphics'
and other EDA environments.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Explorer VHDLsim
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
Explorer VHDLsim(TM) features:
* Fully compliant with IEEE Standard 1076-1987, providing open
access to UNIX
* Fast execution speed and secure model distribution
* Full-featured source-line debugger
* Complete multi-level simulation capabilities
* Optional access to mixed-signal algorithms, hardware
acceleration, and standard board components
* Compatible with the philosophy and scope of the Mentor Graphics
Concurrent Design Environment
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
FFT-523
ARI089400000 Complimentary Vendor
Ariel Corporation
433 River Rd.
Highland Park, NJ 08904
Leslie Listwa (908) 249-2900
Industry:
Electrical/Electronic Eng.\Signal Processing
Mechanical Engineering\Mechanical System Analysis
Complementary Hardware Prod.\Array Processors
Product Description:
The Ariel FFT-523 is a dedicated arrary processor for FFT
processing, delivering the power of a "stand-alone" array processor in a
single HP series 200/300 slot. The dedicated FFT-optimized CPU tansorms
1024 complex points in 9.2 milliseconds. Two or more processors can be
installed in a single host and run in parallel to further increase
throughput. The FFT-523 performs a 16 bit integer FFT on 32 or 1024
data points. Inverse FFT, Power Magnitude Squared and Windowing are all
possible by issuing a single high level command. Humming is standard,
others are available as options. Software is provided to use the FFT
523 under Basic 2.1, 3.0, 4.0 and Pascal 2.1, 3.0 and 3.1. Upgrades to
future operating systems are supplied at no charge. All sources code
for the FFT 523 driver software is included.
The FFT 523 makes time/frequency transformation easy. An FFT is
performed by execution of a single program line in Basic or Pascal.
Disk-based, machine language driver routines, provided with the FFT 523,
make the host/peripheral interface transparent to the user.
Configuration Data:
not available
Pricing Information:
$1600.00
System Information:
HP9000/300 BASIC
HP9000/300 PASCAL
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Falcon Framework
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
Mentor Graphics' Falcon Framework provides a common foundation for
all Mentor Graphics design solutions. It also helps you to integrate
these solutions into your design environment. The result: high-powered
EDA tools coordinated to match your design methodology, including an
effective concurrent design process. The Falcon Framework architecture
provides a graphical, common user interface based on the MOtif standard
from Open Software Foundation, across all supported platforms. It
includes Decision Support System(TM) that assists in the automation of
Concurrent Engineering and design process prototyping, and AMPLE(TM), an
advanced programming language for macros and tool customization. There
is a Design Manager for design navigation, tool invocation, and release
management, as well as a Registar(TM) for registering internal design
tools and data objects in the Falcon Framework. You also get on-line
access to Mentor Graphics' product documentation and your own on-line
documents through the BOLD(TM) Browser and Administrator. FrameMaker
from Frame Technolgoy has also been fully integrated into the Falcon
Framework.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Filter Design System(tm) (FDS)
COM944660000 ISV
Comdisco Systems, Inc.
919 E. Hillsdale Blvd.
Foster City, CA 94404
Fax (415) 358-3601
Industry:
Electrical/Electronic Eng.\Signal Processing
Computer-Aided Test/Lab Auto.\Lab Data Acquisition & Control
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The Filter Design System (FDS) is a software package for the design
and analysis of IIR and FIR digital filters. FDS is a standalone
companion to the interactive DSP design environment of the Signal
Processing WorkSystem (SPW), which provides graphical capture,
simulation, implementation and testing of DSP and communications systems
design. FDS offers a graphical interface consistent with SPW, a wide
selection of filter design methods, an open architecture, full analysis
capability, coefficient quantization and editing, an ASCII file format
option, and support for polynomial and second order section forms.
Configuration Data:
not available
Pricing Information:
$4,000
System Information:
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
FlexTest and FastScan ATPG
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
Maximize fault coverage and minimize the number of test vectors for
your IC and ASIC designs with new FlexTest and FastScan ATPG tools.
FlexTest and FastScan accepts design from Mentor Graphics, Verilog,
Synopsys and others. FlexTest generates test patterns for sequential,
partial and full-scan designs. Likewise, FastScan accelerates full-scan
test development, developing test vectors for 100,000-gate designs in
less than one hour.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
GBRVU Gerber View/Plot Utility
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
GBRVU displays and checkplots Gerber photoplot data. The program
is useful for checking the output of PCB and hybrid design software
prior to making board films. GBRVU displays up to 32 layers
simultaneously and supports the usual pan, zoom and navigation commands.
Special features include the ability to emulate photoplotter composite
plots as well as display polygon data filled.
GBRVU includes plot drivers Postscript, HPGL2 and PCL4. The
program is menu driven and requires X-windows.
Configuration Data:
not available
Pricing Information:
$495 on PC; $995 UNIX
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
GDSVU GDSII View/Plot Utility
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
GDSVU displays and plots GDSII stream data. The program is
intended to aid IC and hybrid mask designers who need a low cost method
of viewing and check plotting GDSII stream data. The viewer supports
the usual pan, zoom land navigation commands. The user can display
selected layers and structures. Boundaries and paths can be displayed
filled or outlined. Illegal boundaries are flagged. Critical data
dimensions can be measured.
Plot output includes HPGL, Postscript and HP LaserJet PCL. GDSVU
is menu driven and requires X windows.
Configuration Data:
not available
Pricing Information:
$495 PC; $995 Unix
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
GDT
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
GDT Designer(TM) is a comprehensive, integrated system for
engineering full-custom ICs and ASIC libraries. Using GDT Designer's
extensive suite of automated tools and module generation techniques, you
can dramatically shorten your time-to-market and increase your design
productivity.
Configuration Data:
not available
Pricing Information:
available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
GIGA
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
GIGA is a simulator for non-isothernal semiconductor devices. It
is an optional model, for use in conjunction with Silvacos' BLAZE or
S-PISCES simulators. GIGA simulates the effects of heat flow, lattice
heating, and heatsinks.
GIGA is used as an aid in the design and optimization of power
devices fabricated using MOS, bipolar and mixed MOS-bipolar
technologies. Additional applications include characterization of
electo-static discharge (ESI) protection devices and SOL devices thermal
failure analysis, and heatsink design.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Graffy
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Design
Mechanical Engineering\Mechanical Design
Product Description:
Graffy is a powerful CAD/CAM system based on Hewlett-Packard's EGS
drafting program. Graffy is 100% compatible with EGS binary drawing
files, archieve files and macros. EGS users who wish to migrate to the
HP 700 can move to Graffy and maintain their investment in training and
in-house developed macros.
Using the Motif user interface Graffy provides all needed two
dimensional drafting functions. Many special functions for hybrid and
PCB layout have been added to the original EGS commands. Graffy is
easily customized. Translators for DXF, IGEs, GDSII are available. A
Photoplot/Drill postprocessor for PCB work is also available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
HDL Compiler(tm)
SYN940660000 ISV
Synopsys
700 E. Middlefield Rd.
Mt. View, CA 94043
Mark Guthrie (415) 962-5000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
The HDL Compiler family of products enables users to create
high-level specifications of their system using VHDL or Verilog hardware
description languages (HDLs). Combined with the Design Compiler these
products convert HDL descriptions into optimized, technology-specific
netlists in CMOS, BiCMOS ECL, GaAs, or FPGA technologies. HDL synthesis
offers tremendous leverage. Instead of placing and connecting gates
using a schematic editor, which usually takes the longest time in the
ASIC design cycle, a designer uses synthesis to translate VHDL or
Verilog design specifications into an optimized netlist.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
HP DCS Parts Libraries (HP742OL)
GEN017660000 ISV
GenRad
300 Baker Ave.
Concord, MA 01742
Joseph Clark (1-800)-4-GENARD
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\IC Design
Product Description:
Contains symbolic and parametric data for all of the parts used by
the HP Design Capture System.
The HP Design Capture System Parts Libraries include over 5800
digital and 3500 analog parts, total. These libraries are used by the
HP Design Capture System to obtain component symbology, timing, physical
packaging, and other parametric information like load currents
(drive/drain). These libraries are also directly mapped into the system
HILO Simulation models for accurate and transparent interfacing to the
System HILO logic and fault simulators.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
HSPICE
MET950000000 ISV
Meta-Software, Inc.
1300 White Oaks Rd.
Campbell, CA 95008-6723
FAX (408) 371-5638
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Mfg / Ind Specific Solutions\Semiconductor Manufacturing
Product Description:
The HSPICE optimizing analog circuit simulator is Meta-Software's
industrial grade circuit analysis product for the simulation of
electrical circuits in the steady-state, transient, and frequency
domain. Circuits have been successfully analyzed by HPSPICE for RF and
microwave circuits at time intervals below 1 picosecond, and for
frequencies greater than 20GHz. HPSPICE is the result of twelve years
of research and development in circuit simulation software,
incorporating features found in Berkeley SPICE, ASPEC and other circuit
analysis programs, as well as enhancements and features developed at
Meta. The in-house engineering staff at Meta systematically adds new
features and continually refines the existing code. HPSICE is used by
over 300 companies and over 320 universities worldwide. These
institutions have chosen HPSPICE for its enhanced technology coupled
with the economic benefits of vendor supplied software maintenance.
HPSPICE is available on every major platform from the PC386 to the
Cray. The size of the circuits simulated by HPSPICE is limited only by
the virtual memory of the computer being used. For optimized speed
performance, HPSPICE has been vectorized and parallelized for
specialized computers. Recent benchmarks indicate HPSPICE is up to 30%
faster than its nearest competitor for simulating a one MBit DRAM on the
CRAY computer. With interfaces available to major CAD/CAE design tools,
HSPICE provides a key circuit simulation and design solution.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Hardware Design System(TM) (HDSTM)
COM944660000 ISV
Comdisco Systems, Inc.
919 E. Hillsdale Blvd.
Foster City, CA 94404
Fax (415) 358-3601
Industry:
Electrical/Electronic Eng.\Signal Processing
Computer-Aided Test/Lab Auto.\Lab Data Acquisition & Control
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The Hardware Design System (HDSTM) is an option to the Signal
Processing WorkSystem(TM) (SPWTM) that lets users account for the
numerical precision of real-world hardware when designing DSP systems.
It can then perform a bit-true simulation and automatically translate
the block level design diagram into a hardware specification or VHDL
description. HDS incorporates software models, subroutines and
libraries that, in conjunction with SPW, let the engineer create a
high-level block diagram specifying the precision of the target
hardware. When the high-level system design is completed, the user can
run a bit-accurate simulation on SPW. If the selected hardware
precision is inadequate, word parameters controlling precision can be
changed quickly and the simulation repeated. The effects of overflow
and loss of precision are also accurately simulated. HDS offers
unlimited fixed point simulation and analysis capability, accommodates
fixed point parameters and data types up to 256 bits in length, has a
detailed set of fixed point library blocks and a documented set of
function calls (C routines) for creating custom models, and includes
libraries of standard DSP part models and DSP chip ALU models.
Configuration Data:
not available
Pricing Information:
$10,000
System Information:
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
HotPlot
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
HotPlot is a high performance IC plotting environment for Versatec
and CalComp plotters. It rasterizes IC designs 5 to 10 times faster
than conventional software. You can also simultaneously spool and
rasterize plot jobs to reduce plotting bottlenecks. HotPlot works from
GDSII stream and Mentor Graphics' Intermediate Plot File formats.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Hybrid Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Product Description:
Hybrid Station provides the tools needed for designs using single
and multi-layer thick-film and thin-film technologies, as well as
co-fired ceramics. You can use interactive and automatic placement and
routing, including blind, buried, and staggered vias. Hybrid Station
also simplifies layout through automatic resistor generation, support
for creating parts and substrate geometries, and support for chip and
wire bonding. Hybrid Station checks your design for crosstalk and net
lengths, and then generates fabrication, assembly, drawing, Gerber, and
GDSII output data.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Hybrid Thermal
PNU920500100 ISV
Pacific Numerix Corp.
1200 Prospect #300
La Jolla, CA 92037
John A. Roth (619) 587-0500
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Hybrid Thermal is a fully interactive finite element program
capable of performing thermal and stress analysis on electronic
components such as hybrid circuits and IC packages. Its unique
algorithims and automatic mesh generating capabilities drastically
reduce modeling and analysis time. High accuracy and ease of use make
Hybrid Thermal a necessity in the design of more reliable and efficient
hybrid circuits and IC packages and in thermally characterizing the
components to accurately forecast their thermal performance when they
are placed on a printed circuit board.
Hybrid Thermal performs 3-D transient or steady-state thermal and
structural analysis. It analyzes any shape or size of component
isolated or mounted on a board. Details such as pins, solder posts, air
gaps, adhesives and cavities can be included in the model. It allows
temperature dependent and anistropic material properties and various
boundary conditions including convections, radiation and known
temperature with time dependencies on ambient temperature and power
dissipation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
HyperPlot
PTE122660000 Complimentary Vendor
Pinebush Technologies, Inc.
Pine West Two
Washington Ave. Extension
Albany, NY 12205
Robin Warren (518) 452-0927
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Graphics\Graphical Output (plotter)
Product Description:
HyperPlot(TM) is the complete solution to Integrated Circuit (IC)
and PCB plotting. Designed for high performance platforms like the HP
400/700, and compatible with all of the standard electrostatic plotters,
HyperPlot offers the fastest rasterizer available today. HyperPlot
solves the plotting dilemma and increases machine and designer
productivity. With HyperPlot output speeds increase directly with
increases in platform performances, leaves the user no longer tied to a
slow, expensive, and fixed speed hardware rasterizer. HyperPlot accepts
industry standard inputs like GDSII Stream, CIF, MEBES and HP-GL and
offers a host of features like:
* Automatic stripping, paneling and single layer plots
* Windowing, rotation and mirroring
* Plot annotation and automatic legends
* Automatic rotation and fit to form size plots
* Plot scaling by scale factor or plot size
* Variable text font sizes
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
IC Layout Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
IC Station is a tightly layout toolset with the flexibility to
handle both full-custom and cell-based designs. IC Station integrates
tools for polygon and symbolic editing, floorplanning, cell and block
design, automatic placement and routing, all-angle compaction, and
on-line verification including design-rule checking, layout-to-schematic
consistency, and parasitic extraction. Interfaces include: GDSII Stream
in and out; DDF netlist; lister procedural interfaces to station
database. IC Station builds on Mentor Graphics' continuous integration
architecture to achieve transparent interfaces with logic design and
simulation tools.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Idea Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
For almost a decade, Idea Station has been the industry's most
popular logic design and simulation system - the choice of ASIC and
board designers worldwide. In V8, its integration, interactivity, and
easy-to-use environment have improved to meet the needs of today's
demanding users. Idea Station builds upon Design Architect, for
schematic and VHDL capture and editing, and QuickSim II, our powerful
multi-level simulator. During simulation, the SimView graphical user
interface greatly simplifies debugging. Add the optional System-1076
VHDL simulator and debugger to create a complete top-down design and
simulation system that easily accommodates gate-level schematics
combined with VHDL models. You also get QuickCheck electrical rules
checking and the EDIF Netlist Write Interface for exporting connectivity
data. With all these capabilities, Idea Station becomes your entry to
the world of concurrent design.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
LUMINOUS
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
LUMINOUS is a new 2D device simulator with special emphasis in
CCD's and photonic devices. It is based on state of the art trap
dymanic equations in addition to the conventional semiconductor
equations. It incorporates advanced thin film optics calculations and
design tools among other new features. Inclusion of the trap dynamics
enables LUMINOUS to accurately simulate the CCD operation in a wide
operation frequency range. Many of the post processing capabilities can
be directly used in design of optical devices.
LUMINOUS can be applied to CCD's, HEMT's, Solar Cells,
Photodetectors, Si or III-V structures, MOSFET, MESFET and
Schottky-semiconductor structures.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Linear Systems Analysis
PAR805400000 ISV
Parametrics, Inc./ESC
PO Box 8035
Loveland, CO 80537
Bill Arrington (303) 667-4030
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
The Linear Systems Analysis Software greatly simplifies the
analysis and design of single-input, single output, linear,
time-invariant systems. The subprograms are accessed through a main
driver program by pressing the appropriate softkey. Subprograms are
available to tabulate or graphically display systemstep, impulse, and
frequency response characteristics. The frequency response data is
available in both Bode and Nyquist diagram formats. There is also a
subprogram to tabulate or plot Root Loci as well as Step and Impulse
responses.
For input, systems are assumed to be represented by a transfer
function or an interconnection of transfer functions (a block diagram).
Individual transfer functions are input by entering the coefficients of
their numerator and denominator polynomials. Block diagrams are input
by representing all cascade sub-blocks, feedback sub-blocks, parallel
sub-blocks, and simple transfer functions as nodes. The manual presents
the theory of binary tree representations, as well as examples of the
conversion
Configuration Data:
BASIC 3.0 or 4.0 or 5.0. Supports most HP plotters and
printers.
Pricing Information:
Available upon request
System Information:
HP9000/300 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Logical Cable
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
Logical Cable automates the design, physical layout, and
documentation of wire, harness, and cable systems. Start with the
Logical Cable wiring connectivity editor to create logical wiring
designs. You can group wires into cables and assign properties, such as
gauge, type, color, insulation, and separation code. You can also
specify shielding. Logical Cable tracks the connectivity between cables
and components and checks for design rule violations. At the end,
you've got your wire list and a database for the Physical Cable
application.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
MARS III Virtual Silicon Series
PDE940660000 ISV
PiE Design Systems, Inc.
757 N. Mary Ave.
Sunnyvale, CA 94086-2929
FAX (408) 738-8853
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The MARS III Virtual Silicon(TM) product line helps designers
gurantee that their designs work before committing them to silcon.
Designs mapped to MAR III logic emulation system can be verified at near
real-time speeds, making it possible to concurrently develop system
hardware, software and silicon. This concurrent design methodology lets
companies deliver quality products ahead of schedule.
MARS III is targeted for use by central processing unit (CPU),
application-specified integrated circuit (ASIC) and application-specific
standard product (ASSP) manufacturers and end-product system designers
incorporating VLSI circuits in their designs. Key features of MARS III
include: * Emulation capacity up to 8M usable gates
Automatic, timing-guaranteed design mapping
* Extensive, integrated debugging capabilities which provides 100%
design obersvability during emulation
* Automatically compiled, on-and-off-board memory emulation
* Consistently high emulation speeds from 4 to 10 MHz, resulting in
faster verification run-time
PiE's logic emulation system has been used on board spectrum of
designs, such as CPUs, graphics controllers, Ethernet, data compression
chips, workstation chip sets and windows accelerators.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
MASTER
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
MASTER is a framework that provides an automated environment for
Silvaco's semiconductor process and device simulators such as SSUPREM3
and S-PICES etc.. MASTER comprises five modules:
* TonyPlot - Interactive Visulation Tool
* DevEdit - Interactive Device Structure Editor - a structure
editor and meshing tool for generating and modifying device structures.
It generates high quality meshes and supports material and doping
editing at any stage during a simulation.
* DeckBuild - Input Deck Development Environment
* Optimizer - Global Optimization Tool allows versatile
optimization of any process and device parameters.
MaskViews - IC Layout Editor for process simulation - an IC layout
editor simulates devices by combining information from both a process
flow and an IC layout cutline.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
MCM Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Mechanical Engineering\Thermal Analysis
Product Description:
MCM Station(TM) unites the power and convenience of automated tools
with the interactive expertise of the designer for total control of the
MCM design process. It allows you to leverage the high density and high
speed of multichip module (MCM) circuits - thick film, thin film, and
co-fired ceramic. With MCM Station, you can use advanced packaging
techniques: chip and wire bonding, TAB, flip-chip, and SMT;
blind/buried/staggered vias; double-sided assemblies; up to 255 layers;
and solid and gridded power planes. MCM Station integrates powerful
tools customized for the layout, thermal analysis, and signal integrity
analysis of MCMs. It provides automatic and interactive placement and
routing of high-density interconnect. It supports desposited, co-fired,
and laminated MCM processes. It also opens the door to a total system
design capability, including design entry, analog and digital
simulation, custom and ASIC chip design, testing and documentation. MCM
Station is an integrated component of the Mentor Graphics Concurrent
Design Environment(TM).
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
MEDICI
TMO943660000 ISV
Technology Modeling Associates, Inc.
3950 Fabian Wy.
Palo Alto, CA 94303
Milan Lazich (415) 856-8862
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
MEDICI is a device simulation program that can be used to develop
both MOS and bipolar integrated circuits. It determines the
two-dimensional distributions of potential and carrier concentrations in
a device to predict its electrical characteristics for any bias
condition. MEDICI solves Poisson's equation and both the electron and
hole current-continuity equations to analyze devices such as diodes,
bipolar transistors, and effects in which the current flow involves both
carriers such as CMOS latch-up. It can also analyze devices in which
current flow is dominated by a single carrier such as MOSFETs, JFETs,
and MESFETs. In addition, MEDICI can be used to study devices under
transient operating conditions.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
MIXEDMODE
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
MIXEDMODE provides circuit simulation capabilities for advanced
devies. It simulates circuits that include numerical physically - based
devices as well as compact analytical models.
MIXEDMODE is invaluable for simulating high power circuits that use
all power device including diode, bipolar, thyristor, GTO, MOS, and 1GBT
devices.
Circuit elements supported include dependent and independent
voltage and current services, resistors, capacitors, inductors, coupled
inductors, BJT's, MOSFET's, JFET's, MESFET's, diodes and switches.
All commercially available spice models are supported and the spice
input languages is used for circuit specifications.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
MOTIVE
QDT930400000 ISV
Quad Design Technology, Inc.
1385 DelNorte Rd.
Camarillo, CA 93010
Fax (805) 988-8259
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
MOTIVE - Quad Design's MOdular TIming VErifier, is the most
advanced timing verification tool available. MOTIVE identifies all
setup and hold violations in a design, without test vectors, by
exhaustively tracing every signal delay path. Accounting for worst-case
interconnect and signal delays, MOTIVE provides comprehensive timing
analysis on designs ranging from ASICs to systems.
MOTIVE can automatically resolve intentional races, component delay
correlation, signal reconvergence, and arbitrary levels of transparent
latches that other systems pessimistically report as errors. MOTIVE's
robust clock description language can describe multi-phase and
multi-frequency clocks. MOTIVE is a modular tool that can be applied to
ASIC's printed circuit boards, and systems.
With MOTIVE, system timing problems can be corrected early in the
design process, before they become costly manufacturing problems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
MSS
CMU951660000 ISV
Contec MicroElectronics USA, Inc.
2188 Bering Dr.
San Jose, CA 95131
Michael Smith (408) 434-6767
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
CONTEC's MSS Mixed Signal Simulator analyzes mixed analog/digital
circuits in a single simulator, avoiding the problems of program
interfaces and handling the "unknown" state. MSS uses a unique system
of continuous-state behavioral modeling for digital elements and
behavioral modeling for analog elements. Analog waveforms are available
at all parts of the circuit.
Digital elements are accurately modeled using information generally
available from datasheets or simple Spice analyses. Spice
transistor-level models can be freely intermixed with behavioral models.
Libraries of models for both analog and digital elements are included in
the MSS product.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Manufacturing Advisor/PCB
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Product Description:
Manufacturing Advisor/PCB is a Design for Manufacturability tool
developed by Texas Instruments. Starting in conceptual design, and
continuing through schematic capture and PCB design, Manufacturing
Advisor/PCB reviews parts lists to discover problems affecting layout
and manufacturing. In addition to evaluating a design, you can explore
design alternatives with its what-if capabilities. By encouraging
Concurrent Engineering, Manufacturing Advisor/PCB minimizes the back and
forth design flow beween and layout and between engineering and
manufacturing.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Maxwell 3D Field Simulator
ANS152660000 ISV
Ansoft Corporation
4 Station Sq. #660
Pittsburgh, PA 15219
Keith Franz (412) 261-3200
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Product Description:
The Maxwell 3D Field Simulator is a dedicated simulation tool for
electromechanical, high-voltage, and magnetic applications. Based on
Ansoft's Maxwell finite-element technology for electronmagnetics, this
product offers 2D, axisymmetric, and full 3D modeling for electrical and
magnetic field applications. Aimed at electrical engineering
applications, the simulator includes a specialized mesh-building system
that renders the FEA process completely transparent to the user. The
Maxwell 3D Field Simulator can link to solid model files generated in
SDRC I-DEAS, Parametric Technology's ProEngineer, EDS' Unigraphics, and
others.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Maxwell Spicelink
ANS152660000 ISV
Ansoft Corporation
4 Station Sq. #660
Pittsburgh, PA 15219
Keith Franz (412) 261-3200
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Maxwell Spicelink lets engineers evaluate high-speed circuit design
issues involving electromagnetic and transmission line effects by
evaluating physical performance of circuit structures at an IC, PCB,
package, or system level. Maxwell Spicelink ocmputes an efficient
equivalent circuit model for 2D transmission lines and 3D
discontinuities that can be used in SPICE-based modeling of signal
integrity, crosstalk, ground bounce, and propagation delay. The heart
of Maxwell Spicelink is Ansoft's Maxwell electromagnetic finite- element
technology, providing a sophisticated yet easy-to-use field solver,
incuding true 3D modeling. Links to other EDA systems available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Mentor Interface and Library
XIL951660000 ISV
XILINX
2100 Logic Dr.
San Jose, CA 95124
Amy Chang (408) 559-7778
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Application Dev./Software Eng.\Microprocessor Development
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
Mentor(R) certified schematic and full timing Simulation Interface
and Library allows you to utilize Mentor Graphics CAE products for
schematic entry and full timing simulation and pass the design
information to XACT Design Implementation to complete the design on
XILINX Programmable Gate Arrays.
Configuration Data:
not available
Pricing Information:
$2500.00 DS343-AP1
System Information:
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Modal Analysis
PAR805400000 ISV
Parametrics, Inc./ESC
PO Box 8035
Loveland, CO 80537
Bill Arrington (303) 667-4030
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Signal Processing
Mechanical Engineering\Mechanical System Analysis
Product Description:
The Modal Analysis Softwre is a program for identifying the
amplitude, frequencies, and phases for the modes that contribute to a
transient or almost periodic signal.
The software uses modern variations on linear prediction and fast
algorithms to identify modes. Once identified, the modes may be used to
construct a rational spectrum model, containing poles and zeros.
Modal Analysis runs on HP-UX on HP series 200, 300 and 700 Mode
parameters are tabulated and plotted on normalized graphs on either the
CRT, HP printers or plotters.
Identify Mode Frequencies:
* Using Linear Prediction
* With or Without Rank Reduction
* With or Without Subset Selection
Identify Mode Parameters:
* Amplitude
* Phase
Display Results:
* Singular Values
* Zeros of Prediction Filter
* Mode Frequencies and Parameters
* Reconstructed Signal
* Pole/Zero Model for Reconstructed Signal
* Spectrum for Reconstructed Signal
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Netlist Products
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
Move connectivity data into and out of your Mentor Graphics design
database using the Electronic Design Interchange Format (EDIF 2 0 0).
The industry-standard EDIF Netlist interfaces let you exchange
connectivity data with other design systems and databases. You can
connect Design Architect(TM) with the design and simulation
technologies ypu rely upon: Lsim, Verilog, and SPICE (Dracula, 2G.6,
HSPICE, and custom). Configure them for specific formatting
preferences, methodology preferences and library-permitive mapping
issues. And use their incremental netlisting to reduce your design
iteration time. Netlist products include:
* EDIF Schematic Read/Write
* EDIF Netlist Read/Write
* V-Net Netlister
* LsimNet Netlister
* SpiceNet Netlister
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Opus IC Design Automation Software
CDE951660000 Premier ISV
Cadence Design Systems, Inc.
555 River Oaks Pkwy.
San Jose, CA 95134
Pat Sheridan (408)943-1234
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The Opus IC Design System give designers the most complete and
integrated suit of high-performance tools. More than 40 tools are
included in the Opus IC Design System including: Design Framework,
CAE/CAT, Layout and Verification tools.
The Design Framework architecture is a comprehensive truly open
design system that lets you create a single, consistent, integrated
design environment customized to your specific needs. Through it, you
can access the entire range of Cadence's tools addressing every phase of
the process from design capture to final verification and access third
party design tools.
CAE/CAT provides you with a complete integrated set of tools to
address design entry, logic synthesis, simulation and test needs.
Layout provides you with the industry's widest range and most
productive manual and automatic layout tools for cell and full-chip
design. These tools support different methodologies such as cell-base
gate array and full custom designs.
Verification is used to check correctness of layout. Cadence
offers compatible sets of both integrated and stand-alone tools to
support today's team engineering approach to IC design. Verification
tools are used to minimize the overall cost of locating and correcting
design errors. These tools ensure that prototypes work the first time
and design yields well in manufacturing.
Configuration Data:
not available
Pricing Information:
Contact Cadence
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PADS PCB
TTEL6J660000 DAR
The Team Corporation
295 Robinson St.
Oakville, Ontario
Canada L6J 1G7
FAX (416) 842-9195
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
The PADS design system affords the electronic engineer with the
most functional electronic design system available. Full schematic
capture, simulation (analog, digital and mixed mode), PCB layout and
intelligent auto routing are available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PADS-PERFORM
PAD014660000 ISV
PADS Software Inc.
165 Forest St.
Marlboro, MA 01752
FAX (508) 486-8217
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
PADS-PERFORM is a CAD tool for designing Printed Circuit Boards.
The user begins by loading a netlist description of the circuit which
could originate from a Schematic Capture tool or a text editor.
PADS-PERFORM has all the tools necessary to take this netlist and create
a PCB. The final output of the system is a complete set of
manufacturing files for artwork (in Gerber or HPGL) and N/C(numerically
controlled) drilling.
A major difference between PADS-PERFORM and other UNIX based PCB
design tools is its simple, integrated user interface. The entire PCB
design process can be executed from within one program. Futhermore,
typical time to productivity is only a few days using the PADS Self
Training Guide. This contrasts with weeks or even months of training
with other UNIX based products.
PADS-PERFORM is supplied with over 7,000 library parts for PCB
design and the integrated library editor allows the user to define
additional components. Other features include: sub-micron database,
T-Routing, Curved Tracks, All angle placement, Copper Pour, Push and
Shove Autorouter, Nudge Placement and Electro-Dynamic Checking.
PADS-PERFORM for the HP is binary level compatible with PADS'
products available on: DOS, Windows, Windows NT, Sun Sparc and SGI.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PCB EXPLORER
PNU920500100 ISV
Pacific Numerix Corp.
1200 Prospect #300
La Jolla, CA 92037
John A. Roth (619) 587-0500
Industry:
Electrical/Electronic Eng.\PC Board Design
Mechanical Engineering\Thermal Analysis
Product Description:
The PCB EXPLORER allows for highly interactive exchanges of data
among application and design engineers. With the system, engineers can
work in parallel to validate the effects that parts and material
selection have on the performance, reliability, testability
manufacturability of electronic products. Design engineers can increase
their technical awareness and validate each design decision before
taking additional value added steps or passing to other departments and
value added areas. During engineering pre-placement, thermal boundary
conditions as well as routability (Manhattan distance = the sum of the X
and Y distances for a connection) placement constraints are considered
for automatic component placement. Once placement has been validated
electrically, a finite element thermal model is automatically generated
from the placement and board design. Accurate component junction and
board temperatures are generated and fed back into circuit simulators
and reliability programs for final electrical validation.
If any changes are made to the placement during final layout and
routing, the layout can be read-in and final analysis can be performed.
Results can be reviewed and thermal and structural problems can be
identified and corrected at the workstation without interrupting the
design flow.
PCB EXPLORER consists of four programs including PCB Place, PCB
Thermal, PCB Vibration and CAE Packager and can be tightly integrated in
a mixed EDA or homogeneous environment.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PCB Interface Products
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
Mentor Graphics provides many interfaces to and from their PCB
products, supporting current standards such as IGES, CLAS, IPC-D-350C,
and Gerber. The list of interfaces includes:
* PCB IGES and PCB IGES+
* MIBS, HP ME10>Brd Stn I/F
* Export IPC-D-350C
* Import/Export IPC350C
* ME/IGES
* PCB Mechanical I/F
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PCB Place
PNU920500100 ISV
Pacific Numerix Corp.
1200 Prospect #300
La Jolla, CA 92037
John A. Roth (619) 587-0500
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
PCB Place is a fully interactive program used to place components
on a printed circuit board utilizing output from schematic design. It
allows electrical engineers to quickly obtain an electrically and
thermally optimized placement by utlizing its powerful automatic and
interactive placement capabilities. With the use of Parasitic
Parameters, PCB thermal and PCB vibration, the placement is validated
electrically, thermally and structurally early in the design cycle. PCB
Place is interfaced with most popular schematic design programs at the
front-end and routers at the back-end.
PAB Place can be also used as a board design tool in selecting
board size, material and thickness, designing cutouts and conduction
cooling strips, and selecting cooling methods, component heat sink sizes
and component mounting configurations. Thru-hole and surface mounted
components can be placed on single and double-sided boards. Its
automatic placement considers various placement constraints such as
permissible component orientation, preplaced components, test points and
reserved areas, and provides a unique way placing certain groups of
components as certain areas based on function, package types, nets and
heights of the components.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PCB Thermal
PNU920500100 ISV
Pacific Numerix Corp.
1200 Prospect #300
La Jolla, CA 92037
John A. Roth (619) 587-0500
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
PCB Thermal is a finite element analysis program capable of
detecting and correcting thermal problems in the design of printed
circuit boards. Its specialized algorithms and efficient utilization of
existing CAD data bases drastically reduce model generation and analysis
time. High acuracy and ease of use make PCB Thermal a powerful tool for
designing more reliable and state-of-the-art electronic equipment. It
is designed to be run by both experts and non-experts in thermal
analysis and can be employed in pre-design analysis, right after
component placement, and after completion of final layout by electrical
engineers, reliability engineers, and board designers as well as
mechanical engineers.
PCB Thermal performs 3-D transient of steady-state analysis for
operating and environmental conditions, testing environments such as
fire test, thermal cycling, burn in and in-circuit test, and
manufacturing process simulation such as preheating and soldering. It
analyzes any shape or size of board, any type of component, any type of
mounting configuration, any type of cooling scheme, and heat sinks
attached to components or embedded within the board, and provides
solutions to multiple boards simultaneously.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PCB Vibration
PNU920500100 ISV
Pacific Numerix Corp.
1200 Prospect #300
La Jolla, CA 92037
John A. Roth (619) 587-0500
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
PCB Vibration is a fully interactive finite element analysis
program capable of detecting and correcting vibrational and shock
problems in the design of printed circuit boards. Its specialized
algorithms and efficient utilization of existing CAD data base
drastically reduce modeling and analysis time. Electrical engineers as
well as mechanical engineers now have a quick and easy method to
consider vibrational effects of board material and geometry, component
placement and support locations under a variety of static and dynamic
loadings.
PCB Vibration can be employed in static and transient response
structural analyses as well as obtaining natural frequencies of the
printed circuit board. It analyzes any shape or size of board, any type
of loading, any type of base excitation, any boundary configuration, and
any board thickness profile, and allows unlimited number of solutions
time steps for an automatically generated finite element mesh made of up
to 10,000 nodes. It considers structural damping of the board material
and mounted components and contribution of components to the stiffness
of the board.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PDQ
QDT930400000 ISV
Quad Design Technology, Inc.
1385 DelNorte Rd.
Camarillo, CA 93010
Fax (805) 988-8259
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
The Pre-route Delay Quantifier, PDQ, is a PCB placement tool that
calculates interconnect length, loading, and delay prior to routing.
PDQ interactively allows component placement and identifies critical
nets before submitting a design to a PCB layout system.
PDQ's transmission line effects analysis calculates the initial
voltage wavestep, and determines which will require reflections to cross
threshold. The initial wavestep and wave propagation effects are
calculated based on the driver characteristics, derated transmission
line impedance, velocity, and length, and component placement. PDQ
identifies and reports critical nets. Placement-induced delay problems
can be identified BEFORE routing, not after prototypes are received.
This ensures fewer design iterations, improved time to market, and
higher quality designs.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PLDSynthesis II
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
PLDSynthesis II helps you to design virtually any programmable
logix device (PLD) within the Mentor Graphics environment. You simply
enter and simulate your design using any combination of powerful design
entry techniques, such as schematic and state machine. Then the tool
automatically produces optimized solutions from a comprehensive and
up-to-date library of devices. PLDSynthesis II utilizes automatic
device selection, fitting, and multi-device positioning techniques to
present you with a range of viable implementations conforming to your
most important design constraints, such as area and speeds.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PREDICT
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
PREDICT (Process Estimator for Design of IC process Technologies is
a silicon process simulator. PREDICT was developed to be used in both
process and device engineering in design and production applications.
It is also useful for fundamental research on silicon technologies.
PREDICT is a robust design tool based on tightly coupled simulation
models. It architecture is based on a deep decision tree which allows
models to be used which are only valid over certain ranges of processing
parameters. This approach produces a predictive tool as long as the
model's database leads the user's requirements. PREDICT contains over
1700 models which incude modern process technologies such as rta, rto,
silicidation, preamorphisation and nitridation. Each model has been
verified with PREDICT and reverified after the inclusion of each model.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PRISM
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
PRISM (Program for Investigating Semiconductor Models) is a 2-D
device simulator for modelling semiconductor structures with homo-
heterojunctions made of GaAs other AIIIBV compounds and/or silicon.
PRISM is based on hydrodynamic engery balance equations in addition to
Poisson's and continuity equations. The following device applications
can be modeled: * structures with multiple heterojunctions based on Si,
Ge, GaAs, GaAIAs, INGsAs, InAIAs, InP, and other AIIIBV compounds
* heterojunction bipolar devices on Si substrate
HEMTs with heterojunctions on GaAs substrate
* complicated MOS structures including those based on
silicon-on-insulator
* technology (SOI structures and their combinations based on Si,
GaAs, and other AIIIBV compounds).
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PROMIS-E
ECT530660100 DAR
E.C.T.
14665 W. Lisbon Rd.
Brookfield, WI 53005
Arthur Sawall (414) 797-7844
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Design
Mfg/Support\Factory/Plant Automation
Public Utilities\Project Management
Product Description:
PROMIS-E is a software system for the design and documentation of
control systems. It includes a schematic editor linked to a parts
database, allowing the user to automatically generate support
documentatation as a byproduct of the schematic. This documentation
includes parts lists, terminal plans, purchase order lists, wire labels,
etc. Symbol libraries are available for electrical, process control,
hydraulic, and pneumatic systems. The schematic editor automatically
performs logical functions such as wire numbering and cross referencing.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Parasitic Parameters
PNU920500100 ISV
Pacific Numerix Corp.
1200 Prospect #300
La Jolla, CA 92037
John A. Roth (619) 587-0500
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Parasitic Parameters is a specialized engineering analysis program
capable of performing 1 and 3 dimensional capacitance, inductance,
resistance, reflection and crosstalk calculations for multi-conductor
and multi-dielectric models. It can be used to effectively solve most
electrical and electronic design problems of multi-layer printed circuit
boards, VLSI and hybrid circuit packages, connectors, multi-layer wires
on IC chips, resistive lines and transmission lines. It provides
built-in interface with Gerber and DXF files and can be interfaced with
CAD systems. Also, its menu-driven modeler with graphical display
capabilities allow users to easily generate and edit complex models.
Parasitic parameters analyzes arbitrarily shaped conductors,
grounds and dielectric interfaces and handles branching currents and
internal cutouts. Resistance and inductance calculations for a 3-D
model can be performed for entire frequency range. The program allows
up to 30 conductors and 30 dielectric materials in a model represented
by up to 5000 boundary elements.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Physical Cable
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
Using the same connectivity database as Logical Cable, Physical
Cable speeds the physical design of cable and wiring systems. You can
create 3D pathways for cables and assign wires and cables to the paths.
Then Physical Cable calculates wire lengths and bundle diameters for
you. Electrical connectivity is consistently maintained, design rules
adhered to, logical design changes automatically reflected in the
physical design. Once you'vd done, Physical Cable layout mode lets you
generate a bill of materials and assembly and formboard drawings, all
within a common connectivity database.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Power System Analysis Series (PSA)
EIN000400000 ISV
Electrocon International, Inc.
715 W. Ellsworth Rd.
Ann Arbor, MI 48108
FAX (313) 761-8078
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Electrical Power System Analysis. The Power System Analysis (PSA)
Programs include Power Flow, Short Circuit, Constrained Economic
Dispatch, Transient Stability, and Power Flow and Short Circuit
Reduction modules for analysis, and a One-Line Diagram module for
graphical output of power flow and short circuit results.
PSA can be used in either batch or interactive mode. The Power
Flow uses the Fast Decoupled and Newton-Raphson solution techniques. It
has provisions for multiple nonconnected networks, phase shifters for MW
flow control, LTC's for control of voltage and MVAR flow, control of
area real power interchange, and multiterminal DC lines. The unique
Short Circuit program allows analysis of fully general, simultaneous
faults as well as all the traditional balanced and unbalanced faults.
The Transient Stability module simulates system response to balanced and
unbalanced faults. Sophisticated synchronous machine modeling enables
subtransient effects to be analyzed. The Power Flow Reduction module
uses the Extended Ward method and accepts the same data file formats as
the Power Flow. All modules are available separately.
Configuration Data:
not available
Pricing Information:
$10,000-$42,500 depending on configuration; including training and
installation.
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Pre-Route Delay Quantifier (PDQ)
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Signal Processing
Product Description:
Analyze interconnect delay and verify high-speed digital design
with the Pre-Route Delay Quantifier (PDQ). This placement-analysis tool
calculates interconnect delays of pre-routed PCBs and MCMs, based on
Manhattan distances. To evaluate transmission line effects, PDQ
accounts for all critical factors: device characteristics, line length,
line loading, and board or substrate physical parameters. You can
indentify and correct placement induced problems during the layout stage
and during prototype debugging.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
QuickCheck
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
For error-free designs, use QuickCheck(TM) to perform name
checking, name translation and electrical rule checking, as well as
generate design statistics. This customizable option to Design
Architect(TM) highlights rule violations directly on your schematics.
QuickCheck has two components: a runtime checking system and a rules
compiler. The runtime checking system lets you perform checks within
Design Viewpoint Editor, using rules established by ASIC vendors.
ini-house groups or other third parties. The rules compiler helps you
compile an ASCII rules file into a format that the run time system can
use.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
QuickFault II
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
When you need a definitive look at test vector coverage, turn to
QuickFault(TM). Quickfault is a deterministic fault-simulation solution
with 12-state accuracy. Its high-level modeling technology supports
built-in primitives through behavioral and hardware models. The
QuickFault II concurrent fault-simulation algorithm helps reduce run
times, and you can even use LAN acceleration to distribute the
processing load. Its graphical user interface displays results right on
the schematic for fast review and modification of designs and vectors.
QuickFault II complements the QuickGrade II(TM) high-speed fault grader,
delivering the ultimate degree of accuracy you require for today's
designs.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
QuickGrade II
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
Built on well-known QuickSim II(TM) technology, the new QuickGrade
II(TM) high-speed fault grader gives you a fast and accurate measure of
test vector coverage. Useful any time during the design cycle,
QuickGrade II applies statistical methods to the results of logic
simulation to generate an accurate list of undetected faults and an
esitmated total fault coverage. It also gives you feedback to help you
develop test patterns and choose test points. Its SimView graphical
user interface displays resuts on your schematic for fast comprehension.
QuickGrade II's patented high-level modeling technology supports
built-in primitives through behavioral and hardware models.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
QuickPath
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
As ASIC and board designs get bigger and faster, you need to
identify and quantify all critical signal paths. The QuickPath(TM)
graphical critical path analyzer supports vector-independent worst-case
timing analysis for ASIC and board designs. Maximize operating speeds
by identifying areas where you can optimize performance. QuickPath
highlights critical paths on your schematic for rapid understanding and
modification. Working within the Falcon Framework(TM) and Idea Station
V8, QuickPath is supported by all Mentor Graphics modeling methods.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
RDAT
RCL940660000 DAR
RCLABS, Inc.
830 W. Evelyn Ave.
Sunnyvale, CA 94086
R.A. Wildman (408) 732-1986
Industry:
Electrical/Electronic Eng.\IC Design
Mfg/Support\Factory/Plant Automation
Mfg / Ind Specific Solutions\Semiconductor Manufacturing
Product Description:
RDAT is a high speed Commoniczyions system which is capable of
transmitting large files of data over common carrier of leased telephone
lines.
RDAT has several levels of error checking and is used to tranmin
error from Copics of I.C. design data and mask making data.
Configuration Data:
not available
Pricing Information:
15K plus hardware
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
S-CREEP
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
S-CREEP is a 2-D Viscous Creep-Flow Simulator for the numerical
modeling of silicon oxidation and silicon isolation technology. S-CREEP
can simulate various types of LOCOS processes, oxidation of silicon gate
and trench structures, creep-flow problems such as glass reflow and
spin-on glass shrinkage processes. The finite element method is used.
S-CREEP incorporates a set of stress-dependent silicon oxidation models
including improved 2-D Deal-Grove and Kao's models. S-CREEP can help to
resolve the problem of spin-on coating profiles over an arbitrary
topography.
S-CREEP's models take into account the effects of crystal
orientation, intrinsic stress in thermal oxidation and the viscosity of
vitreous silica.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
S-MINIMOS4
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
S-MINIMOS4 is a user-oriented software tool for the 2-D numerical
simulaton of planar MOS transistors. S-MINIMOS4 is a fast, accurate MOS
device simulation tool that calaulates the internal MOS device
simulation tool that calculates the internal distributions of
electrophysical parameters and predicts the electrical characteristics
of MOS devices under steady state conditions by the numerical solution
of the fundamental set of semiconductor equations (Poisson's and
continuity equations for electrons and holes).
Sophisticated programming techniques are used which allow
comparatively low computational costs. S-MINIMOS4 is based on the
MINIMOS4 code originally written at the Technical University of Vienna,
Institute of Microelectronics.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
S-PISCES
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
S-PISCES is a general purpose 2-D (two-dimensional) semiconductor
device simulator for silicon technologies. It simulates all structures
encountered in MOS, Bipolar, and BICMOS technologies. It is used in
deep submicron devices, Sol devices and non-volatile memory structures.
It calculates DC, AC and time-domain solutions for general
nonplanar 2-D Silicon device structures. Device structures may be
specified by the user, or by the output of a process simulator such as
Silvaco's SSUPREM4 simulator.
Boltzmann and Fermi-Dirac statistics, and Band-gap narrowing due to
heavy doping are included. It incorporates both drift-diffusion and
energy-balance transport models, and provides several advanced mobility
models.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SCAP
MGR970660013 Premier VAR
Mentor Graphics Corporation
1001 Ridder Park Dr.
San Jose, CA 95131-2314
Wendy Reeves (408) 436-1500
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
SCAP is the only commercial switched-capacitor simulator that
simulates switched-capacitor circuits with arbitrary and data-dependent
clocks. Designers can use SCAP to simulate circuits such as sigma-delta
modulators and A/D converters. These circuits bring high density and
low sensitivity to process variations in mixed-signal IC or ASIC
applications including instruments, telecom, and signal conditioning.
SCAP:
* simulates switched-capacitor circuits with arbitrary and
data-dependent clocks
* works within Explorer Lsim for mixed-signal, multi-level
simulation with other digital and analog simulation kernals
* analyzes static errors on switched-capacitor networks
* supports switch resistance and provides noise analysis for
switched-capacitor filters
* is full integrated with the Mentor Graphics analog and
mixed-signal design environment
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 HP-UX
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SCAP
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
SCAP is the only commercial switched-capacitor simulator that
simulates switched-capacitor circuits with arbitrary and data-dependent
clocks. It works within Explorer Lsim for mixed-signal, multi-level
simulation with other digital and analog simulation kernals. Designers
can use SCAP to simulate phase-locked loops, sima-delta modulators and
A/D converters in 1/1,000 of the time required with SPICE-based
simulators. These circuits bring high density and low sensitivity to
process variations in mixed-signal IC or ASIC applications including
instruments, telecom, and signal conditioning. SCAP analyzes static
errors on sseitched-capacitor networks, supports switch resistance, and
provides interactive, graphical noise analysis to greatly improve the
dynamic range of filter designs. Sensitivity analysis an dynamic error
analysis shorten debugging cycles and design turn-around times while
impriving quality and manufacturability. SCAP is fully integrated with
the Mentor Graphics analog and mixed-signal design environments.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SI
CMU951660000 ISV
Contec MicroElectronics USA, Inc.
2188 Bering Dr.
San Jose, CA 95131
Michael Smith (408) 434-6767
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Contec's SI signal integrity analysis software analyzes electrical
signals in high-speed PCBs and MCMs, showing signal distortion, ringing
and overshoot caused by reflections and crosstalk, due to impedance
mismatches, improper terminations and mutual couplings. SI analyzes
multiple coupled lossy transmission lines in the time domain and
includes the skin effect. There is no limit on the number of coupled
lines or on the topology of the circuit. SI's 2D field solver generates
the R,L,C and G matrices representing the lines.
SI includes analog behavioral modeling of digital elements for
fast, accurate simulation of entire circuits in any topology. Effective
models can be created from data sheet information and Spice
transistor-level models can also be directly used. Libraries of digital
element models are included.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SKEMATIX
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
SKEMATIX is a simple, intuitive, interactive and graphical editor
with a built-in SPICE interface. It supports the standard analog
template library used by most SPICE programs with add on template
ability. It has a fully interactive MOTIF-based user interface and can
run stand alone. SKEMATIX allows an unlimited sub-circuit hierarchy to
be built. This program was developed to support the MASTER interface
which provides a complete integration of process, simulation and design
software.
Through VYPER, SKEMATIX interfaces to UTMOST II, SSPICE and SPAYN;
with products having a common Database and Graphics User Interface.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SL-ARRAY
OBASCHW00000 ISV
Silvar-Lisco
703 E. Evelyn Ave.
Sunnyvale, CA 94086
Eric Geyer (408) 991-6000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
In order to meet the demands of increasing gate counts and critical
timing constraints, Silvar-Lisco offers the GARDS family of gate array
place and route products. GARDS has features to: control timing,
achieve short run times, and to manage engineering change orders.
GARDS is timing driven; In addition to isolating critical nets,
GARDS allows the user to set timing criteria for all nets in the design.
These timing constraints are then incorporated into both placement and
routing. Timing results are available in both lumped form based upon a
slope timing model, and pin to pin delays, based upon RC simulation.
GARDS place and route redefines speed; Even in designs of 20,000
gates, our CPU time is one-sixth of our competitor's. As the designs
get larger, our linear algorithms give you an even greater advantage.
Our engineering change order capability incorporates incremental changes
into layout without replacing and rerouting the entire chip; valuable
time is saved by eliminating re-simulation.
GARDS creates the design with ease: GARDS new user interface allows
you to perform complex tasks with straight forward pull-down menus.
Advanced utilities, such as clock-tree synthesis, and GEARS (GARDS
engineering access routines, whick allows direct read/write access to
the GARDS' database) are easily accessed.
The GARDS family has three options: GARDS I: Two or three layer
technology with design capacities of up to 25,000 gates.
GARDS II: Two or three layer technology with design capacities of
up to 125,000 gates.
GARDS III: Three layer technology with design capacities up to and
exceeding 300,000 gates.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SL-CELL
OBASCHW00000 ISV
Silvar-Lisco
703 E. Evelyn Ave.
Sunnyvale, CA 94086
Eric Geyer (408) 991-6000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
There are three options available in the cell-based category: SC I,
SC II, AND SC III. The SC family offers the next generation of cell
based layout: mastering the automatic place and route of large blocks
and standard cells. The SC family's features: meet your timing
criteria, get the smallest die size, and provide the best quality. In
addition, SC has powerful floorplanning utilities.
SC is timing driven in that every net in the design is given a
min/max threshold, while critical nets can be assigned unique timing
values. All timing information is then interwoven in the placement and
routing process to ensure timing correct layout. RC Delay extraction is
available for back-annotation.
SC provides the smallest Die size: our router is gridless with
on-line compaction and cotouring. And as for quality, our software has
a forward correct methodology. We do not violate design rules, and
correct them later. We do the job right the first time. Quality is
tightly coupled with automation to provide: 100% completion, automatic
routing and width tapering, automatic routing of multiple supply lines.
Through our floorplanner we provide the designer with either
automatic or interactive placement and route. Soft Marco's allow the
designer to group associated logic together. SC also has unlimited
levels of hierarchy.
The SC family has three options:
SC I: Two or four layer technolgy; design capacity up to 5,000
cells per level of the hierarchy.
SC II: Two, Two and a half, Three layer technology; design capacity
of 20,000 cells per level of the hierarchy.
SC III: Two, Two and a half, Three, Three and a half, Four layer
technology; design capacity of 20,000 cells per level of the hierarchy.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SL-CUSTOM
OBASCHW00000 ISV
Silvar-Lisco
703 E. Evelyn Ave.
Sunnyvale, CA 94086
Eric Geyer (408) 991-6000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
PRINCESS, Silvar-Lisco's full-custom layout product, is technology
independent, accommodating MOS, Bipolar, GaAs, Cmos, and Hybrid circuits
of any size. An option to PRINCESS is fully functional on-line Design
Rule Checking (DRC).
PRINCESS has one of the powerful macros languages in the industry.
Also, PRINCESS exclusively provides a wide range of elements: all angle
polygons, real circles, arcs, and arrays.
As with all of Silvar-Lisco's tools, hierarchy is fully supported.
The designer can use schematic, symbolic and black box views. The
hierarchical display depth level can be easily specified.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SL-DESIGN
OBASCHW00000 ISV
Silvar-Lisco
703 E. Evelyn Ave.
Sunnyvale, CA 94086
Eric Geyer (408) 991-6000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
SL-DESIGN offers SDSi, a fully open design capture system with
bidirectional EDIF schematic and netlist support. Additionally, SDSi
allows full read/write access to its database. Other powerful features
include on-line symbol generation, on-line macros, and multi-window
editing. Hierarchies and libraries are easily defined by SDSi, to give
the designer a top-down, or botton-up view of the integrated circuit
design.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SL-SIM
OBASCHW00000 ISV
Silvar-Lisco
703 E. Evelyn Ave.
Sunnyvale, CA 94086
Eric Geyer (408) 991-6000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
Silvar-Lisco's simulation product line, SL-SIM, offers HELIX, the
most tested architectural simulator available in the market. With HELIX
you can verify your system before you begin the detailed design. HELIX
allows true top-down and bottom-up design methodology. In fact, you can
specify different parts of the system and perform combination and
architecture at a high level, optimizing hardware/software tradeoffs.
Then, because of its ability to correctly model synchronous or
asynchronous systems and to cross phase boundaries independently of the
clock, HELIX virtually guarantees there will be no surprises when you
phototype your ASIC system. Moreover, by using HELIX, the design will
not only be correct, it will also be well documented.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SL-VERIFY
OBASCHW00000 ISV
Silvar-Lisco
703 E. Evelyn Ave.
Sunnyvale, CA 94086
Eric Geyer (408) 991-6000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
Silvar-Lisco offers the most versatile and mature verification tool
set in the industry. Through the SL-VERIFY, design errors can be caught
before the costly fabrication process begins.
The SL-VERIFY tool set consists of four products:
* DRC: Design Rule Checker offers a powerful command set algorithms
for versatile functions and block based hierarchivar checking system.
* EVS: Electrical Verification System ensures that the layout is
free of electrical connectivity related errors. Layout versus layout,
layout vs schematic, schematic comparison and verification is also
included.
* MDP: Mask Data Preparation incorporates PG and EBEAM fracturing
algorithms that are reliable and generate the lowest flash counts in the
industry.
* YIELD: The industry unique YIELD software allows the product
engineer to analyze and predict the manufacturing yield of a given
design.
SL-VERIFY supports popular industry standards including GDS II and
EDIF.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SOLID
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
SOLID is the most advanced 3-D photolithography simulator,
especially useful when considering modern submicron technologies. SOLID
simulates photolithographic processes based on the new generation of
High Numerical Aperture steppers using g-line, h-line, i-line and eximer
laser illumination. Simulations cover processes based on modern methods
such as Phase Shifting Masks, Transparent Phase Shifting Masks and FLEX.
Aberrations such as astigmatism, coma, distortion, spherical
aberration, and field curvature, of the optical projection system can be
taken into account. Compensation for incorrect setup of the
illumination column, (a tilt of the complete illumination system) is
also taken into account.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SPAYN
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
SPAYN is a statistical parameter analysis tool tailored for
circuit, process and device engineers. It accepts SPICE parameters from
UTMOST II, leading software packages for device modeling or from
production line/process/device databases. SPAYN was developed to
discover working relationships among circuit, process and device
parameters. Of particular importance to the production engineer. it has
broader relevance to any field where the determination or dominant
parameters and parameter inter-relationships need to be simplified.
It provides information for Statistical Process Control (SPC)
(scattergram plots, range plots etc.) and is the only known means of
relating process, device and circuit data in a manner useful for
controlling the manufacturing process. SPAYN is automatically
interfaced to UTMOST II and through VYPER it interfaces to SSPICE and
SKEMATIX.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SSAMPLE
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
SSAMPLE is an advanced general purpose 2-D topography simulator
that mimics semiconductor fabrication processes involving deposition,
etching and lithography. SSAMPLE can be used to design and optimize
lithographic processes including optical lithography and electron beam,
icon beam and x-ray lithography. Post processing capabilities have been
significantly improved to now support a wide variety of monitors,
printers, and plotters. SSAMPLE can run stand alone or as part of an
integrated application through the MASTERPIECE interface. SSAMPLE
incorporates phase shifting masks capabilites, CEM and inorganic resist
simulation, photoresist and pre-bake and post-exposure bake, multiple
non-planar layer etch, and 2-D color graphics showing profiles over
time.
Configuration Data:
Contact vendor
Pricing Information:
Contact vendor
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SSUPREM3
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Silvaco's SSUPREM3 simulator is a comprehensive, general purpose
one-dimensional (1-D) semiconductor process simulator used in the
prediction of doping profiles and layer thicknesses.
SSUPREM3 is accurate and extremely fast. It is able to simulate a
complete flow of dozens or hundreds of process steps in a matter of
minutes.
Interfaces to device simulators, such as Silvaco's S-PISLES
simulator, enable simulated profiles to be input for device level
simulation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SSUPREM4
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
SSUPREM4 is a state-of-the-art 2D semiconductor process simulator.
It is used in the design, analysis and optimization of semiconductor
fabrication technologies and device structures. It includes a wide
range of advanced physical models for diffusion, implantation and
oxidation modeling.
Features:
* Includes advanced discretization techniques and grid manipulation
algorithmns to reduce simulation times.
* Predict 2 module - The predict 2 module is used for rapic thermal
annealing (RTA) simulation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Signal Design & Analysis Envir.(TM)(SDA)
COM944660000 ISV
Comdisco Systems, Inc.
919 E. Hillsdale Blvd.
Foster City, CA 94404
Fax (415) 358-3601
Industry:
Electrical/Electronic Eng.\Signal Processing
Product Description:
The Signal Design & Analysis Environment(TM) (SDATM) is a low-cost
alternative for DSP and filter design and analysis projects that do not
require simulation. SDA is standalone software that combines Comdisco
System's Filter Design System (FDS) with the signal display editor of
the popular Signal Processing WorkSystem.
SDA provides signal processing, analysis, generation, editing,
display and capture from external sources, as well as capabilities for
the design and analysis of digital filters. Among its many features are
interactive multiple signal display and editing in color,
user-extendable open architecture, powerful menu-based user macro
facilities, interfaces to instruments and data-acquisition devices, and
a full set of random and periodic waveform generation commands.
Configuration Data:
not available
Pricing Information:
$10,000
System Information:
HP9000/400 HP-UX
S/3500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Signal Processing WorkSystem(R) (SPWtm)
COM944660000 ISV
Comdisco Systems, Inc.
919 E. Hillsdale Blvd.
Foster City, CA 94404
Fax (415) 358-3601
Industry:
Electrical/Electronic Eng.\Signal Processing
Computer-Aided Test/Lab Auto.\Lab Data Acquisition & Control
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The Signal Processing WorkSystem(R) (SPWtm) is an integrated
software package providing all of the tools needed to graphically and
interactively capture, simulate, test and implement a broad range of DSP
and communications systems designs. SPW represents DSP processing
functions as function blocks (icons), which the user interconnects to
form hierarchical signal flow block diagrams. The ability to link an
entire system to a single symbolic block and nest any number of blocks
within other blocks makes designs of virtually any complexity
achievable.
SPW automatically compiles signal flow diagrams into simulation
programs. Input signals for simulation can be selected from a library
of standard or user-created signals, or captured from external sources.
Signal parameters are easily changed and users can interact with and
control simulations while they are running. Simulation results are
viewable in a variety of formats including FFT plots, eye diagrams,
scatter plots and histograms. SPW has several options, including an
automatic C code generator (CGSTM), and HDS(TM), a system for
implementing DSP designs in ASICs, PCB and other hardware.
Configuration Data:
not available
Pricing Information:
$25,000
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Signals and Windows
PAR805400000 ISV
Parametrics, Inc./ESC
PO Box 8035
Loveland, CO 80537
Bill Arrington (303) 667-4030
Industry:
Electrical/Electronic Eng.\Signal Processing
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Mechanical Engineering\Mechanical System Analysis
Product Description:
Signals and Windows is a menu-driven spreadsheet for the synthesis
and analysis of digital signals and filter sequences can be created and
changed to desired form: filters can be designed to specification,
analyzed, and used to filter sequences; and then sequences, filters, and
their various representation can be graphically displayed
The spreadsheet may be transversed via a two-button mouse and
actions may be performed by the simple pick of the mouse. The graphical
display allows the user to quickly receive timely feedback of the
appropriate actions. Each window can store - * A complex sequence of
numbers, * The coefficients of filter, * The Poles/Zeros of a Digital
Filter, * A Correlation Sequence, * The Reflection Coefficients of an
Autoregressive Digital Filter, * The Complex Frequency Response of a
Digital Filter, * The Complex FFT of a Sequence Signals can be
sythesized and analyzed via generation of schematics sequences can be
cyclicially shifted, time reversed, conjugated normalized, truncated, or
manipulated in more than a dozen ways. Filter Analysis, Synthesis,
Convolition, Correlation, Filtering, Fourier Series, and Spectrum
estimation can be easily performed with the simple pick of the mouse.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SmartSpice
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
SmartSpice is a robust, accurate, user-oriented circuit simulator.
It is a full featured C language implementation of SPICE that comes
equipped with a powerful GUI for extensive post-processing.
SmartSpice supports the following features:
* DC, AC, transient, network, sensitivity, pole/zero, distortion,
and noise analysis.
* Comprehensive simulation model library for all standard
technologies.
* SDL4000 comprehensive compower and model library.
* Powerful wave form management.
* Cadence/viewlogic/mentor interface.
Additional modules:
Interpreter - for custom model development. The interpreter is a
powerful tool that allows users to develop custom models outside
SmartSpice. Particularly suitable for new model development and
university research users define their models as C code that is
interpreted at runtime, without the need for compilation.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Sys. HILO AMD Simulation Models (HP7423)
GEN017660000 ISV
GenRad
300 Baker Ave.
Concord, MA 01742
Joseph Clark (1-800)-4-GENARD
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
Contains functional and timing information for AMD parts used by
the System HILO simulators.
These simulation models are written to specific modeling stardards
and then tested, assuring quality and accuracy.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
System HILO HIFAULT Simulator (HP74230)
GEN017660000 ISV
GenRad
300 Baker Ave.
Concord, MA 01742
Joseph Clark (1-800)-4-GENARD
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
Checks the effectiveness of test vectors in detecting potential
faults in a circuit.
Fault simulation checks the effectiveness of test vectors in
detecting potential faults in a circuit. Circuit behavior is examined
in the presence of fault conditions and compared to the operation of the
same circuit without the faults. A difference between the simulation
outputs of the good circuit and the faulted circuit indicites that the
test set is effective in detecting those fault conditions.
The HILO HIFAULT fault simulator employs a unique algorithm called
parallel Value List. This technique combines the speed of concurrent
fault simulation with the optimized memory requirements of parallel
fault simulation. The fault simulator offers a wide range of fault
types for simulation, including struck-at logic high or low on wires,
open and drive faults on terminals, as well as short-circuit faults.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
System HILO Logic Simulation Toolkit
GEN017660000 ISV
GenRad
300 Baker Ave.
Concord, MA 01742
Joseph Clark (1-800)-4-GENARD
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Computer-Aided Test/Lab Auto.\ATE Languages/Tools
Product Description:
The System HILO Logic Simulation Toolkit provides as integrated,
modular set of analysis, verification, and test development tools to
insure high quality in the design and test of digital electronic
products.
A modular architecture allows system HILO to solve a broad range of
IC, PCS, and system design and test problems that cannot be resolved
effectively with any single tool. A user interface integrates all
System HILO application modules, permitting information to flow freely
between chip and board design and between design and test.
With System HILO, chip design, board design, and test development
all take place in parallel. As a result, System HILO users can bring
their products to market faster with higher quality and lower costs.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
System HILO Logic Simulator (HP7423OB)
GEN017660000 ISV
GenRad
300 Baker Ave.
Concord, MA 01742
Joseph Clark (1-800)-4-GENARD
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Performs logic functional and timing checks on a digital circuit
design.
As the core of the HP virification software, the System HILO logic
simulator performs logic functionality checks on electronic designs.
Using an event-driven, selective trace algorithm, the logic simulator
enables to perform a thorough analysis of designs in a timely manner.
The simulator effectively supports a broad range of device technologies
including TTL, ECL, MOS, CMOS, NMOS, and PMOS.
A logic strength capability is required to accurately model MOS
bidirectional gates, wired ORs, tristate pullups and pulldowns. The
logic simulator incorporates a five-state, 15-value logic strength
algorithm to address this requirement (Figure 6). The five states that
can physically exist on the output terminal of a circuit element are
STRONG 1, WEAK 1, HIGH IMPEDANCE, WESK 0, and STRONG 0. With this
algorithm, the simulator is able to resolve conflicting drive
combinations, and only resorts to insertin of "X" or "unknown" at points
of equal strength or if timing violations occur.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
System HILO MIL Spec. Models (HP74236E)
GEN017660000 ISV
GenRad
300 Baker Ave.
Concord, MA 01742
Joseph Clark (1-800)-4-GENARD
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
Contains functional and timing information for MIL Spec. parts
used by the System HILO simulators.
These simulation models are written to specific modeling standards
and then tested, assuring quality and accuracy.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
System HILO Simulation Models (HP74236F)
GEN017660000 ISV
GenRad
300 Baker Ave.
Concord, MA 01742
Joseph Clark (1-800)-4-GENARD
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
Contains functional and timing information for all of the parts
used by the System HILO Simulators.
These simulation models are written to specific modeling standards
and then tested, assuring quality and accuracy.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
System-1076
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
For VHDL power and productivity, System-1076(TM) provides
comprehensive, multi-level simulation, linking schematics, VHDL source,
and simulation results all in one screen. Its full-featured,
source-level debugger graphically highlights all concurrently executing
statements within the VHDL text. As it identifies errors, the compiler
places you at the point in the code at which each error occurred. You
can also modify code during simulation runs, recompile, and reload your
model to quickly perform "what-if" analysis. System-1076 is an option
to Idea Station(TM), Design Architect(TM), QuickSim II(TM), and Mentor
Graphics design synthesis products. The system-1076 compiler and solver
are sold separately.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
THEDA
CAL921660000 VAR
Computervision Corporation
100 Crosby Dr.
Bedford, MA 01730
Al Hopkins (617) 275-1800
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
THEDA electronics design automation software is a comprehensive and
integrated solution for designing electronics products.
THEDA Design Desk Framework provides a common user environment that
facilitates access to design data and supports the information
management capabilities needed for simultraneous engineering.
THEDA Design Entry offers a comprehensive engineering solution for
schematic capture, component, board, and system level design.
Framework-based integration of simulation and analysis tools provides a
common methodology for accessing and using vertification tools.
THEDA AUTOBOARD provides consistently fast routing times and high
rates of completion. Design for manufacturability features assure
reliability, testability, and manufacturability.
THEDA is fully integrated with Computervisions CADDS 5 and MEDUSA
mechanical software providing a complete, inter-operable solution for
Mechatronic Design including electronic packaging and wire harness
design.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
THUNDER
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
THUNDER is a 3D general purpose semiconductor device simulator. It
simulates general 3D devices encountered in silicon technologes. It is
applicable to situations where a 3D rather item 2D device description,
is required.
These situations arise for scaled VLSI devices, and when
characterizing effects such as CMOS latchup and single event upset.
THUNDER is supplied with interactive tools for 3D structure
specification and 3D data visualization.
THUNDER includes comprehensive physics, including Fermi- Dirac
statistics, band-gap narrowing, modern surface mobility models, SRM and
Auger recombination impact ionizahon, band-to-band and Fowler-Nordheim
tunneling, hot carrier injection,a nd floating gates.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
TLC
QDT930400000 ISV
Quad Design Technology, Inc.
1385 DelNorte Rd.
Camarillo, CA 93010
Fax (805) 988-8259
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
The Transmission Line Calculator (TLC) is an advanced digital
system simulation tool that calculates and displays effects of
transmission line phenomena on digital signals.
TLC predicts ringing, non-incident switching, undershoot,
overshoot, and time delay for networks of arbitrary topology and
construction, allowing diagnosis and correction before prototyping. TLC
considers both linear and non-linear circuit elements, as well as
impedance discontinuities caused by vias and connectors. TLC performs
this highly accurate analysis with unsurpassed speed, making entire PCB
or system transmission line analysis a reality for the first time.
TLC provides the PCB designer with valuable information needed to
identify signal quality and delay problems before manufacturing costly
prototypes. TLC ensures fewer design iterations, improved time to
market, and higher quality designs.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
TSI 98303 16-bit Real-time Analog
TET943660000 ISV
Tetra Systems Inc.
809 San Antonio Rd.
Palo Alto, CA 94303
FAX (415) 493-7289
Industry:
Electrical/Electronic Eng.\Signal Processing
Complementary Hardware Prod.\DataComm Hardware
Product Description:
The TSI 98303 16-bit Real-time Analog I/0 and DSP Coprocessor
provides a single-board solution for a wide range of signal processing,
control, and multimedia applications. The TSI 98303 features two 16-bit
ADC channels and two 16-bit DAC channels supported by a 40MHz TMS320C25
DSP microprocessor. The analog channels may be configured for unipolar
or bipolar input and output signals, and can accommodate signals from DC
to a maximum sample rate of 200 KHz per channel. Up to 8 MB of
dual-ported RAM allow the host and coprocessor to stream data in and out
without causing discontinuities in the digital I/O stream due to Unix
context switching. The TSI 98303 occupies a single select code in the
host computer's I/O space, allowing it to be used with any Series 300 or
400 workstation. No device drivers or kernel modifications are
required. Unix software for streaming data in and out of the TSI 98303
is bundled with the hardware, along with X11 applications for real-time
scope, spectrum analyzer, and sonogram. Additional object libraries for
FFTs and other signal processing tasks are available from Tetra Systems.
Users may also develop their own microcode for the TSI 98303 using
standard TMS320C25 development tools, including assembler, linker, and C
compiler.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
TSUPREM-4
TMO943660000 ISV
Technology Modeling Associates, Inc.
3950 Fabian Wy.
Palo Alto, CA 94303
Milan Lazich (415) 856-8862
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
TSUPREM-4 is the most accurate two-dimensional process simulator
available today. Implementation of sophisticated numerical models for
oxidation and diffusion allows the detailed study of individual process
steps or entire process receipes.
TSUPREM-4 can model fabricated structures consisting of up to ten
layers. These layers are made up of wide variety of materials,
including silicon, polysilicon, silicon dixoide, silicon niutride,
oxynitride aluminum and photoresist.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Test Compiler(tm)
SYN940660000 ISV
Synopsys
700 E. Middlefield Rd.
Mt. View, CA 94043
Mark Guthrie (415) 962-5000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
Test Compiler is a test synthesis solution that automates
design-for-test and provides automatic test pattern generation. It
integrates a consideration for testability into the normal design
process and enables a designer to explore trade-offs among speed, area,
and testability.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
TetraSystems DSP/14
TET943660000 ISV
Tetra Systems Inc.
809 San Antonio Rd.
Palo Alto, CA 94303
FAX (415) 493-7289
Industry:
Electrical/Electronic Eng.\Signal Processing
Data Management\Image Tools/Utilities
Product Description:
The TetraSystems DSP/14 adds real-time audio capability to HP
workstations. The DSP/14 is designed specifically for voice bandwidth
signals, and features a 10 MIPS TMS 320C25 signal processing chip
combined with a 14-bit analog-to-digital and digital-to-analog codec
which is programmable for sample rates up to 19.2 KHZ. A convenient
analog interface pod containing a speaker and microphone is provided,
along with X11 applications for digital oscilloscope, spectrum analyzer,
speech sonogram, and audio record/playback utilities. Object libraries
for FFTs and other signal processing tasks are available separtely.
Users may also develop their own microcode for the DSP/14 using an
optional TMS320C25 C compiler. The DSP/14 is well suited for advanced
user interfaces, voice mail, speech analysis, and other real-time audio
tasks.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
The Design Center
MIC927660000 ISV
MicroSim Corporation
20 Fairbanks
Irvine, CA 92718
FAX (714) 455-0554
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The Design Center - System 1 provides direct program management of
mixed analog/digital circuit simulation with PSpice, including analog
behavioral modeling, Monte Carlo, and sensitivity/worst-case statistical
analyses, fully integrated event-driven digital simulation, simulation
error messaging, graphical waveform analysis, histograms, stimulus
generation, device characterization, and our extensive analog and
digital model libraries (64000+ parts). The Circuit Analysis manual set
(User's Guide, Reference Manual, System Setup Manual) and the second
edition of the book SPICE A guide to Circuit Simulation and Analysis
Using SPice are included.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Transmission Line Calculator (TLC)
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Signal Processing
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
The Transmission Line Calculator (TLC) simulation tool calculates
and displays the effects of transmission-line phenomena on digital
signals. TLC helps you verify signal quality and identify delay
problems in your PCB or MCM before you build prototypes. It predicts
ringing, non-incident switching, undershoot, overshoot, and time delay
for networksof arbitrary topology and construction. TLC also helps you
to analyze critical clock and backplane signals, view the effects of
tristate bus contention, and evaluate ASIC output drivers.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
UTMOST II
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
UTMOST II is used for device modeling and characterization. It
provides solutions for circuits and sub-circuit optimization, parametric
testing, process control and yield analysis. Circuits and be simulated
for macro modeling and time domain simulation. All technologies are
supported (bipolar, MOS, GaAs, JFET, diode etc.) and all major libraries
(SSPICE, HSPICE, PRECISE, etc.). Complete AC characterization up to 100
GHz is provided and over 82 instruments are supported. UTMOST II
accommodates Keithley and Avantest instruments in any configuration, ten
probers and multi-target and extensive multi-geometry optimization.
UTMOST II produces accurate SPICE models and process parameters by
integrating data measurement and analysis of circuits. It uses fully
integrated, interactive, schematic enry for efficient macro modeling.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
UTMOST III
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
UTMOST III is a software package for semiconductor device
characterization and parameter extraction. It provides powerful device
modeling capabilities and provides fast, and accurate solutions for
spice modeling, circuit optiziation parametric testing, process control
and yield analysis. Multi-Target/Multi-Geometry Optimization can be
performed, with up to 40 different target functions selected for
concurrent optimization. Targets may include ID/VDS, ID/VGS, gm, gas,
BF, for example.
Interfaces to other Silvaco modules include: Interface to
SmartSpice - circuit simulation software - Interface to Skematix - an
intuitive, intuitive, graphical circuit editor. Interrface to SPAYN -
for statistival yield analysis of dominant device parameters.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
V-System VHDL Development Environment
MTE970660000 ISV
Model Technology Incorporated
15455 N.W. Greenbrier Pkwy. #240
Beaverton, OR 97006
FAX (503) 690-2093
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Product Description:
V-System/Workstation is a full-featured VHDL design package that
includes a compiler, a simulator, and a source-level debugger.
V-System/Workstation is used by electronic engineers to describe and
simulate designs of virtually any complexity without the need for a
schematic capture phase. The total design is described in the IEEE 1076
standard VHDL language. Typical applications include top-level system
design, ASIC design, and VHDL model development. V-System/ Workstation
offers portability, speed, and ease-of-use. Portability - Thanks to
full IEEE 1076 support, and 3,700 language and simulation tests, any
design verified with V-System/Workstation can be used with all other
fully-compliant VHDL tool such as logic snythesizers. Speed -
V-System/Workstation is as fast as or faster than anything else on the
market. Intuitive Design Environment - V-System/Workstation offers a
unique set of views into a VHDL design and its simulation. Designers
can choose: an interactive wave form display with cursor measurements;
tables/lists of simulation results; a hierarchical design structure
view; a window showing the VHDL source code; a display of the signals in
the region or design; a window showing all active or in-region
processes; and a display of the variables in the current process - or
have all on the screen at the same time! Thanks to the V-System
stand-alone approach and Model Technology's emphasis on standards like
OSF/Mofif, V-System/Workstation is the easiest to use VHDL development
system.
Configuration Data:
not available
Pricing Information:
$9,995.00
System Information:
HP9000/700 MS-DOS
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
VHDL System Simulator
SYN940660000 ISV
Synopsys
700 E. Middlefield Rd.
Mt. View, CA 94043
Mark Guthrie (415) 962-5000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
VHDL System Simulator is a set of simulation, debugging, and
analysis tools for specifying and verifying electronic systems at
behavioral, RTL, and gate levels. IEEE-1076 VHDL standard support
ensures full design portability. System-level modeling support is
provided through interfaces to hardware modelers, off-the-shelf software
models, or by linking to custom C models and routines.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
VISULA
RRE018660100 Premier ISV
RACAL-REDAC, Inc.
238 Littleton Rd.
Westford, MA 01886
Liz Given (508) 692-4900
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Racal-Redac's VISULA(TM) EXPERT Series helps systems designers
solve design challenges using the Forward Engineering(TM) methodology.
The toolset brings the system life cycle requirements into focus during
upfront system design and drives downstream processes using constraints
defined by the systems design team. Engineering and Manufacturing then
become part of the overall product development process.
The VISULA(TM) EDA EXPERT Series ASIC design, system design, and
physical layout tools integrated at all levels through a unifying,
object-oriented framework. These products share a common, central
database and applications environment which provides the basis for
defining design and manufacturing constraints to support the Forward
Engineering process.
The EXPERT Series comprises ASIC, SYSTEM and CAD EXPERT.
* VISULA ASIC EXPERT addresses the top/down design of complex ASICs
using VHDL, with integrated testability strategies.
* VISULA SYSTEM EXPERT combines system-level design entry tools
with system-level simulations.
* VISULA CAD EXPERT provides rules driven physical layout tools for
high speed, high density models, (including PCBs, hybrids, MCMs and
HDLs), with integrated physical analysis tools such as thermal and
transmission line analysis.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
VYPER
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
VYPER is an integration of UTMOST II, SPAYN, SKEMATIX and SSPICE
which provides a fully integrated solution designed around a MOTIF
interface for desk top circuit design. All the functions of these stand
alone programs can be accessed through one simple menu driven interface
in VYPER. All products have a common Database and Graphics User
Interface.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Vantage Spreadsheet
VAN945660000 ISV
Vantage Analysis Systems, Inc.
42808 Christy St. #200
Fremont, CA 94538
Charlie Cheng (510) 659-0901
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
Vantage Analysis Systems is the leader in VHDL (VHSIC hardware
Description Language) simulation systems. Vantage is the first CAE
company to develop and deliver IEEE 1076 VHDL in an advanced, realtime
schematic simulation. This enables efficient "What If" analysis,
allowing dozens of design changes to be explored during a single design
session. Real-time schematic simulation is the most significant
improvement to design since the advent of interactive simulation. It
provides faster total design iterations than any other simulation
technique including the use of interpreted VHDL compilers.
Vantage Spreadsheet is supported on HP-PA, HP/Apollo, SUN, and
other workstations. Designs may be directly imported and exported from
Mentor design files or through EDIF.
Configuration Data:
not available
Pricing Information:
$44K
System Information:
HP9000/700 HP-UX
S/4500 DOMAIN
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Version 8.0 PCB Design Products
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Product Description:
Board Station(R) is a correct-by-construction printed circuit
design system that offers world class front-to-back solutions for PCB
design and layout. Board Station accommodates standard and advanced PCB
design technologies such as; Single sided, double sided, multi-layer,
SMT, Chip-on-board, Flip Chip, Blind and Buried, Wire bonding (with
Hybrid option), Flex and semi-rigid circuit layouts. Board Station
offers a highly productive environment which includes a consistent Motif
user interface and rich features sets as; Polygonal placement and
routing boundaries, all angle place and route, simultaneous routing of
multiple wire and clearance widths on a net and/or layer basis,
Automatic and Interactive grid and shape (gridless) based rounting,
complex split power/ground planes and areas fills with automatic
clearance generation, geometric construction for complicated component
and board shapes, automatic double sided component packaging and
placement with gate and pin swapping, Daisy chain net topology (see
Board Station 500 for complete high-speed layout capabilities). Board
Station also provides a broad range of analysis, documentation and
manufacturing capabilities for panelization, drilling, milling,
photoplotting, fabrication, assembly, test, process control, and ASCII
data interface functions. Multi-Chip Modules (MCMs) are also supported
by Mentor Graphics products, see the MCM
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Waveform Analysis
PAR805400000 ISV
Parametrics, Inc./ESC
PO Box 8035
Loveland, CO 80537
Bill Arrington (303) 667-4030
Industry:
Electrical/Electronic Eng.\Signal Processing
Aerospace\Aircraft/Aerospace Simulators
Aerospace\Military Applications
Product Description:
Waveform Analysis is an invaluable tool for performing time and
frequency domain analysis of single and dual channel waveforms of
signals and systems. Designed by PhDs in Signal Processing, thousands
of users revere it as a must for serious analysis of waveforms at a
reasonable price. For advanced time-domain exploration, the data may be
scanned for noteworthy features, segmented into overlapping blocks and
"windowed" with one of 10 built-in windows or through a customized
window. Biased and unbiased correlation functions for single and dual
channel data may also be computed.
Frequency domain analysis is simple-by using segmented windowed,
and averaged periodograms; or through using correlation, covariance, and
Burg techniques of autoregressive(AR) spectrum analysis. In the
dual-channel mode, cross correlations, cross-spectra, and coherence may
be computed. There are also many altenate analysis techniques
available.
The pack is a menu-oriented, prompt-driven, interactive program
that permits you to select menus, and options within menus, to exercise
the capabilities enumerated above. The manual explains the theory, how
to use the program, and contains examples and references.
Configuration Data:
Basic 3.0, 4.0 or 5.0. Supports most HP printer and plotters.
Pricing Information:
Available upon request
System Information:
HP9000/300 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Workview Series II
VIE017660000 Premier ISV
Viewlogic Systems, Inc.
293 Boston Post Rd. W.
Marlboro, MA 01752
FAX (508) 480-0882
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Viewlogic specializes in CAE tools for electronic design.
Viewlogic's Workview tools for design entry (schematic and VHDL) and
simulation (analog, digital, mixed a/d, VHDL, fault and system-wide) can
be used for IC, ASIC, PLD, PCB, systems and analog design. Workview
provides innovative front-end tools, offering unique capabilities that
increase designer productivity and shorten the design cycle. Modules
are available for logic synthesis and ASIC retargetting in addition.
Workview excels at ASIC and mixed analog/digital design, system
wide-simulation and is the design standard offered by every FPGA vendor.
Workview's open architecture offers a framework for integrating layout
and other design tools into a cohesive environment. Standard interfaces
to the most popular IC and PCB layout systems are offered. Workview is
offered on both PC's and workstations, enabling users to create the most
cost-effective and efficient environment. DOS and HP-UX versions are
100% compatible. Workview is currently used by over 11,000 engineers at
major companies worldwide. Evaluation copies are available.
Configuration Data:
not available
Pricing Information:
Workview Series II basic package schematics and simulation: $20K
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
XACT Design Implementation Systems
XIL951660000 ISV
XILINX
2100 Logic Dr.
San Jose, CA 95124
Amy Chang (408) 559-7778
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Application Dev./Software Eng.\Microprocessor Development
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
XACT Design Implementation Systems offers a complete solution to
the users of XC2000 AND XC3000 Xilinx Programmable Gate Arrays and is
used in conjunction with your choice of logic entry method. The Xilinx
development system is supported by various third party vendors and
allows schematic, state machine, and palasm form of logic specification.
XACT Design Implementation System allows you to do logic synthesis,
automatic place and route, manual control and fine tuning of placement
and routing, design rule checks, static timing analysis, and LCA
configuration and PROM file generation.
Configuration Data:
not available
Pricing Information:
XACT Design Implementation Systems - $7,950.00 DS501-AP1
System Information:
HP VECTRA MS-DOS
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
XTK
QDT930400000 ISV
Quad Design Technology, Inc.
1385 DelNorte Rd.
Camarillo, CA 93010
Fax (805) 988-8259
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
The Crosstalk Tool Kit (XTK) is a suite of network simulation and
analysis tools that both calculate the electrical parameters of
multiconductor configurations, and simulate the interconductor coupling
(noise) generated in complex digital systems.
The Crosstalk field extractor (XFX) module of the tool kit computes
such electrical parameters as dynamic impedance, trace velocity,
capacitance, inductance, and coupling of arbitrary configurations of
dielectrics, XTK can be used to predict system-level noise and
interconnect effects prior to costly prototype fabrication, ensuring
fewer design iterations, improved time to market, and higher quality
designs.
The Crosstalk Network Simulator (XNS) will perform exhaustive
simulation of the effects of crosstalk on digital systems. XNS employs
a discrete time/discrete space simulation approach to accurately
estimate induced noise under all driver and receiver electrical
conditions. XNS produces comprehensive reports and waveform displays.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
em
SON130660000 ISV
Sonnet Software, Inc.
135 Old Cove Rd. #203
Liverpool, NY 13090
James Rautio (315) 453-3096
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
em provides a precise electromagnetic analysis of arbitrary planar
circuits, such as microwave microstrip circuits (MIC's, MMIC's),
integrated circuits and printed circuit boards. The analysis subdivides
the circuit into small subsections and precisely calculates the coupling
between all subsections. All stray coupling, discontinuity effects,
package resonances and losses are included. This allows a planar
circuit to be designed with a single pass, eliminating expensive
re-design, re-fabricated cycles. The analysis allows any number of
layers, any umber of ports, is valid at any frequency, and can model
full 3-D conductors. A mouse based graphical user interface is
included. No electromagnetics background is needed. The residual error
is typically below -50 dB. The analysis interfaces directly with
several major CAE packages.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Energy
EGO (Excalibur's Gas Operations)
PTE802400000 Premier VAR
Paradigm Technologies, Inc.
1099 18th St., #2400
Denver, CO 80202-1924
FAX (303) 292-1812
Industry:
Energy\Accounting
Energy\Tax/Financial Reporting
Product Description:
EGO is a complete and comprehensive integrated software solution
for the gas producer. The EGO system modules are fully integrated to
Excalibur's base Accounting Systems. The systems modules are comprised
of: Gas Contracts, which provides the link between purchasers and
working interest owners on wells; Gas Balancing, monitors product sales
against owner entitlements, to contractual receiving interest; Gas
Production, provides comprehensive reporting and control over volumes
and entitlements; Chain of Title, maintains control legally over working
and revenue interests by well and by product; Gas Gathering, provides
pipeline operating capability for a client; Gas Settlements reports,
controls and settles product sales. All systems modules are interfaced
to one another for maximum effectiveness and accounting control.
Configuration Data:
not available
Pricing Information:
Pricing is primarily by port, ranging from $20K for a small Gas
Marketing subset to over $400K.
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Energy
Excalibur Sequel
PTE802400000 Premier VAR
Paradigm Technologies, Inc.
1099 18th St., #2400
Denver, CO 80202-1924
FAX (303) 292-1812
Industry:
Energy\Accounting
Energy\Lease Management
Energy\Tax/Financial Reporting
Product Description:
The Excalibur Sequel system is designed specifically for the oil
and gas exploration and producton companies. It is a fully integrated,
on-line, interactive system running over a relational database
management system. The software functions in all financial and
operational departments of exploration and production (E&P) companies:
General Ledger, Accounts Payable, Accounts Receivable, Joint Interest
Billing, Revenue Distribution, Asset Management, Authorization for
Expenditures, Production, Property Analysis, Lease Record - Land
Management, Investor Services and Partnership Accounting. Additionally
there is a full complement of software that addresses the needs of those
whose focus is on gas operations, i.e, Gas Balancing, Contracts, Split
Stream, Settlements, marketing and gathering. The solutions are for the
first purchaser of gas and oil, operators and non-operators. For those
that require comprehensive cost accounting at N' levels there is
Responsibility Reporting. There are versions of the software with
features and functions to cover the smallest to some of the largest E&P
companies.
Configuration Data:
not available
Pricing Information:
The base software module for 6 concurrent users is $35K up to $900
for unlimited user license
System Information:
HP9000/800 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Energy
GW Associates
GIN802660000 DAR
GW Associates Inc.
143 Union Blvd. #900
Lakewood, CO 80228
Robin Gregory (303) 987-2238
Industry:
Energy\Accounting
Energy\Lease Management
Product Description:
Provides integrated business for oil and gas.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Energy
Natural Gas Flow Accounting System
PDA809400100 Systems Integrator
Porter Data Systems, Inc.
470 Buckeye Dr.
Colorado Springs, CO 80919-1229
Fred Porter (719) 599-5548
Industry:
Energy\Accounting
Chemical/Pharmaceutical\Petrochemical
Earth Resources Engineering\Mining
Product Description:
The Natural Gas Flow Accounting System (NGFAS) is primarily a link
between an orifice meter in a pipeline and a statement of the MCF and
heating value of natural gas at delivery conditions. The system can
also be used for liquids and other gases as well as for turbine and
displacement measurement devices. It is designed for use by gas
producers, common carriers, utilities and government agencies. All
calculations are made in accordance with the American Gas Association,
Gas Measurement Committee Report #3.
The NGFAS can be installed on a HP-UX System with a LAN and be
accessed by HP 9000 users and PC users simultaneously. Output can be
sent to the user's customers by a communications link or printed
statements. Lotus 1-2-3 formatted reports are also available. The
number of users is limited only by the hardware configuration.
Ask about our complete ON-LINE system support on HP-UX. We may be
able to eliminate your need to have a UNIX system administrator
resulting in great savings in overhead and training.
Additional Comments:
Offer support for a complete System Administration for HP-UX
installations.
Configuration Data:
not available
Pricing Information:
$25,000 (ask for a quote for your configuration).
System Information:
HP VECTRA MS-DOS
HP9000/300 BASIC
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
4CAST Digital Color Imager
PBY681400000 Complimentary Vendor
Perfect Byte, Inc.
909 N. 96th St., #6
Omaha, NE 68114-2593
Nicole Carriger (402) 554-1938
Industry:
Graphics\Graphical Output (general)
Product Description:
The DuPont 4CAST Digital Color Imager uses 300 dpi thermal dye
sublimation to print continuous-tone color images with photo-like
realism. The imager produces 16.7 million colors by combining
traditional CYMK (cyan, yellow, magenta, black) color separations in a
single, high-quality digitally-produced print. Typical print time is
less than 6 minutes. Maximum print size is 11.9 inches by 17.3 (ISO
A3). It is an accurate, high-speed proofer for color pre-press
environments, as well as a versatile general purpose color printer.
4CAST paper has the look and feel of photographic paper, and is
suitable final output for presentation graphics, textile design,
mapping, remote sensing applications, medical imaging and any hard-copy
use where a photo-quality color, grayscale or monochrome image is
desirable. The Perfect Byte, Inc. 4CASTtool software accepts
PostScript, TIFF, Targa, X bitmap and Artisan raster formats and permits
rotation, inversion, cropping and general image manipulation in the
printing process.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
5700 Series
MET970660000 Complimentary Vendor
Metheus Corporation
1600 N.W. Compton Dr.
Beaverton, OR 97006
FAX (503) 690-1525
Industry:
Graphics\Graphical Output (general)
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Graphics\Image Processing
Product Description:
Working with the HP 9000/700 Series, the Metheus 5700 is designed
for the graphics needs of X-Window with the latest technology in display
controller architecture. The result is a high performance, high
resolution X-Window graphics controller for the HP-UX environment.
The 5700 Series graphics controller consists of the following
single-slot, 6U VME boards which make up the allow the customer many
options in resoolutions, memeory and performance:
* VFB, Video Frame Buffer
* GXA, Graphics Accelerator
* VMC, Video Window Controller
* RSC, Radar Scan Converter
* X-Window and PEX Driver Software
To implement a 2048x2048 display controller, just a single VFB
board is required for full-function X-Window, 8 bit double buffer
support. Multiple-display workstations can be achieved by simply adding
additional VFB's with 8 to 32 bit planes. Display resolutions range
from 2048x2048 to 1280x1024. Up to four VFB boards may be combined to
allow up to four simultaneous displays per system. The 5700 interface
to HP 9000/700 System via the EISA Bus.
The 5700 Display Controllers can be easily tailored to best reflect
the customer's needs in ATC, C3I, Imaging and other high resolution,
data intensive applications including a 2048x2048 true color system.
Metheus service and support include system integration, customer
training and custom products.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Graphics
ANALYZE(tm) Software
MVE559660000 Premier ISV
Mayo Medical Ventures
200 First St. S.W.
Rochester, MN 55905
Fax (507) 284-5410
Industry:
Graphics\Image Processing
Data Management\Image Tools/Utilities
Medical/Health\Radiology MIS
Product Description:
The ANALYZE(TM) system features integrated, complimentary tools for
fully interactive display, manipulation and measurement of
multi-dimensional image data. It can be applied to data from many
different imaging modalities, including CT, MRI, PET, SPECT, ultrasound
and digital microscopy. The software runs efficiently on standard UNIX
workstations without the need for special-purpose hardware.
ANALYZE(TM) is comprehensive and generic, containing over 60
intelligently and synergistically integrated tools for display,
manipulation, and measurement of biomedical images. ANALYZE(TM) has
several original algorithms which deal directly and effectively with 3-D
and 4-D image data. ANALYZE(TM) is highly operator-interacitve: most
operations are performed in fractions of a second while preserving
accuracy and image quality.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
AS1600 hi-res camera system
KRE970660000 ISV
Krig Research
17919 S.W. Rigert Rd
Beaverton, OR 97007
Bruce Sawyer (503) 649-2621
Industry:
Graphics\Image Processing
Complementary Hardware Prod.\Frame Capture
Product Description:
The AS1600 hi-res camera system is a complete RGB color and
monochrome camera system. the control electronics reside in a separate
enclosure, which is connected to the host computer by ETHERNET, using
the NFSt file system. High speed interfaces, such as SCSI, are also
available. The resolution of the camera is selectable up to 1600 x1200,
or 4416x3456 (*or higher, depending upon sensor selected), which
provides square pixels.
A lighting system is provided for both prints and negatives. The
AS1600 can be configured and optical storage, data
compression/decompression, and high-resolution display capabilities.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Graphics
Animation Center
KRE970660000 ISV
Krig Research
17919 S.W. Rigert Rd
Beaverton, OR 97007
Bruce Sawyer (503) 649-2621
Industry:
Graphics\Image Processing
Complementary Hardware Prod.\Frame Capture
Product Description:
Animation Center provides an integrated hardware/software for
creating professional quality video animations composed of computer
generated images and/or live video. Animation Center can create and
play animations, using a dedicated display system, or within a window on
the workstation (live video in a window). It connects to the host
computer via ETHERNET.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Graphics
Application Visualization System (AVS)
STA017660000 Premier ISV
Advanced Visual Systems Inc.
Prospect Hill
300 Fifth Ave.
Waltham, MA 02154
Paul Esdale (617) 890-4300
Industry:
Graphics\Graphical Output (general)
Application Dev./Software Eng.\Programming Tools
Graphics\Image Processing
Product Description:
AVS provides advanced data analysis and visualization capabilities
to help researchers, engineers and analysts gain insight from the large
volumes of data generated by medical imaging systems, satellite-based
sensors, computational simulations and many other sources. AVS is also
used as a development environment to build applications in areas such as
medical imaging, engineering analysis, financial modeling, environmental
studies and oil and gas exploration and production.
Advanced Visual Systems, Inc., headquartered in Waltham, Mass. is a
leader in the development and delivery of visualization software
products for scientific, engineering and business professionals and
software developers. The company has been at the fore front of
visualization technology since 1988 when the research and development of
AVS first began. AVS software has since emerged as the industry
standard, platform-independent visualization application software and
development environment, with thousands of users worldwide.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
CA-DISSPLA
ISS921500100 Premier ISV
Computer Associates International, Inc.
One Computer Associates Plaza
Islandia, NY 11788-7000
Carla Fitzerald (408) 922-2662
Industry:
Graphics\Graphics Library
Application Dev./Software Eng.\Code Generators
Graphics\Graphical Output (general)
Product Description:
CA-DISSPLA is a library of high level graphics subroutine
developers to use when developing graphics applications.
Features include:
Unlimited graphics types, including maps, charts, graphs, contours,
surfaces, and two- or three-dimensional designs - A complete set of 2D
and 3D graphics primitives with attributes, including lines, circles,
text, markers or symbols, and polygons - Batch use allowing for
production based applications - Math utilities and algorithims for
automatic scaling of axis, line interpolation, and complex blanking of
objects - Multiple coordinate system modeling, including linear,
logarithmic, and polar - Supports 57 standard and 7 presentation quality
software fonts with diverse alphabet chartacter sets - Automatic
generation of FORTRAN application code - Produces industry-standard
graphics formats including X-Windows, Computer Graphics Metafiles (CGM),
Graphics Kernel System (GKS), and postscript - Support for over 400
output devices, including X-Windows.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
CA-TELLAGRAF
ISS921500100 Premier ISV
Computer Associates International, Inc.
One Computer Associates Plaza
Islandia, NY 11788-7000
Carla Fitzerald (408) 922-2662
Industry:
Graphics\Graphical Output (general)
Product Description:
CA-TELLAGRAF is a command driven graphics system that allows
technical and non-technical personnel to crete high quality presentation
charts and grahps. Data displayed in 2D and 3D line, area, bar and pie
charts, histograms, tables and thematic maps combined with aesthetic
features of presentation quality fonts, legends, messages, color and
format gives users toatl flexibility and control. Retrieval of data
form reports, flat files, and spreadsheets and direct access to SQL
databases allows for production graphics. CA-TELLAGRAF's flexibility
and ease of use increases productivity and effectiveness in visual
representation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/500 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/3500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
CFR1
COG018660000 ISV
Cognivision, Inc.
319 Littleton Rd. #100
Westford, MA 01886
Olin Lathrop (508) 392-0881
Industry:
Graphics\Graphical Output (general)
Graphics\Image Processing
Product Description:
The CFR1 is a complete film recorder system that can write digital
images to 35mm film or Polaroid instant prints. It is centered around
the Polaroid CI-3000 film recorder, which has a resolution of up to 2048
pixels across the image.
The CFR1 is complete and ready to use. It comes with film
recorder, 35mm camera back, Polaroid 3 1/4 x 4 1/4 instant print camera
back, PC/AT bus interface board, all necessary cables, and software.
Polaroid 4x5 and auto eject (type 339 film) camera backs are additional
options.
The software includes a set of image manipulation utility programs
that can anti-alias, blend, rotate, and convert images between,
different formats, including run-length compressed. A display server is
also provided that can be used to examine and manipulate individual
pixels, perform image cut and paste operations, and matte images
together using alpha buffering transparency techniques.
Configuration Data:
not available
Pricing Information:
$5,900
System Information:
HP9000/400 DOMAIN
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
CORE-CFG & CORE-VFG Source Libraries
KRE970660000 ISV
Krig Research
17919 S.W. Rigert Rd
Beaverton, OR 97007
Bruce Sawyer (503) 649-2621
Industry:
Graphics\Image Processing
Earth Resources Engineering\Geologic Data Analysis
Complementary Hardware Prod.\Frame Capture
Product Description:
CORE-VFG is based on the industry standard ITEX package from
Imaging Technology. It contains a comprehensive device driver for the
VFG image processor. It also contains a large image processing library
including many commonly used functions such as convolution, scaling,
warping, median filtering, simple graphics, etc.
CORE-CFG contains complete device driven code for the Color Frame
Grabber from Imaging Technology Inc. CORE-CFG is written in "C", and
comes complete with documentation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Communications & Applications Series
CTI443400000 ISV
Commercial Timesharing, Inc.
2650 S. Arlington Rd.
Akron, OH 44319
FAX (216) 644-3059
Industry:
Graphics\Graphics Library
Product Description:
The Communications & Applications Series tools provide integrated
CASE capabilities for software development in the following areas:
The User Interface Screen Generator transforms screen definition
files into source code which manages data entry screens, menus, static
and/or animated graphic dislays, and touch screen interaction.
The Transaction Processing system simplifies client/server and OLTP
program development and the SQL DB tools ensure that transactions for
these applications are consistent with the DB schema.
The Message Processing System enforces a standard error message
methodology and assures message delivery to any terminal, file, printer,
program, or paging device at any location within the network.
An integrated Virtual Terminal and Menu facility allows the
creation of a global user interface that follows the user anywhere in
the network.
A Real Time programming Environment library and PLC communications
software simplify data acquisition and control programming.
Configuration Data:
not available
Pricing Information:
$250.00 - $2500.00
System Information:
HP VECTRA SCO-UNIX
HP1000 RTE
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
DISSPLA CODEBOOK
ISS921500100 Premier ISV
Computer Associates International, Inc.
One Computer Associates Plaza
Islandia, NY 11788-7000
Carla Fitzerald (408) 922-2662
Industry:
Graphics\Graphical Output (general)
Application Dev./Software Eng.\Code Generators
Education/Colleges\Computer-Aided Instruction
Product Description:
Application code generating option to CA-DISSPLA Advanced Graphics
Subroutine Library. 1000 predefined prototypes.
CODEBOOK is an application code generator for CA-DISSPLA, Codebook
contains over 100 predefined programs or prototypes developed
specifically for both business and scienfific engineering applications.
There are prototypes for three dimensional graphs, surfaces, contours,
mapping, Venn Diagrams, strip charts, histograms, and other complex
applications. CODEBOOK creates complex graphics in seconds. Simply
select the type of graphic desired, access the necessary data, and the
desired prototype is automatically created. As a teaching tool,
CODEBOOK shows novice users how to generate complex applications using
CA-DISSPLA's complete functionality.
Experienced users will find CODEBOOK an indispensable productivity
tool for enhancing existing applications. A CODEBOOK session generates
an actual FORTRAN program including all of the subroutine calls used to
create a particular chart or graph. These FORTRAN files are highly
commented and modular in design. You can access this file and edit
selected subroutines to incorporate them into a production environment
or use the file as a stand alone application. Convert the applications
you have developed to CODEBOOK applications by inserting CODEBOOK
control routines, turning your application into a prompt driven
application available to the entire company. You can enter data in one-
or two-dimensional arrays for comparisons, and display multiple arrays
simultaneously. You can input data through the keyboard or by accessing
existing files.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
E-Z Graph III
GSC323500100 Complimentary Vendor
Galileo Scientific
1803 Sage Way Dr.
Tallahassee, FL 32303
Fulvia Pieraccini (904) 562-9789
Industry:
Graphics\Graphical Output (general)
Product Description:
E-Z GRAPH III is a versatile, hassle-free graphics subprogram for
scientific and technical use, with unique SCROLL/ZOOM capabilities. It
differs from most graphics packages in that, with a single calling line,
it instantly translates user data arrays into professional quality
graphs without prompts, menus or delays.
The default mode, with auto-scale and auto-offset, produces a plot
usually good enough for hard copy output.
Custom tailoring of the plot, via passed parameters in the single
calling line, gives over 1000 combinations of plotting options including
log/semilog scale, independent right & left hand scales, multiple
overlays, multiple movable labels, and much more... A DATA COMPRESSION
mode allows viewing of long data records within a single screen. an X-Y
ANALOG RECORDER mode is also available.
The SCROLL/ZOOM feature, invaluable for long data sets, allows
SCROLLing through the entire X-range and ZOOMing in/out to any
magnification, with automatic rescaling of axes.
ADD-ON PROGRAMS by Galileo Scientific: E-Z DRIVER, FIT (regression
analysis), E-Z FFT, E-Z SPLINE, E-Z FIN(ance).
Configuration Data:
not available
Pricing Information:
E-Z GRAPH III - $195.; Multi-copy discount available; 30 day
money-back guarantee
System Information:
HP VECTRA MS-DOS
HP9000/300 BASIC
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Graphics
ENVISION(R)
DRO480400000 DAR
Deneb Robotics, Inc.
3295 Lapeer Rd. W.
PO Box 214687
Auburn Hills, MI 48321-4687
Rakesh Mahajan (313) 377-6900
Industry:
Graphics\Animation
Graphics\Image Processing
Mechanical Engineering\Dynamic Analysis
Product Description:
ENVISION(R) utilzes real-time 3D animation technology to simulate,
analyze and optimize automated or human workcells. It incorporates the
actual geometry, motion characteristics, dynamics and communication
logic of the real world device/human models contained in the workcell.
As a result, ENVISION(R) simulations are highly accurate. Also, because
ENVISION(R) devices may incorporate inverse kinematics and are
programmable, even novice computer users can create lengthy and
intricate simulations with ease. ENVISION(R) applications include:
* Research and Development
* Human Factors Engineering
* Articulated Assembly Design
* Telerobotics
* Space Task Engineering
* Flexible Manufacturing System Simulation
* Nuclear/Hazardous Duty Animation
* General Purpose Simulation
* Interactive Walk-through/Visualization
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Exponent Graphics
IMS770500100 Premier ISV
Visual Numerics Inc. (VNI)
9990 Richmond, #400
Houston, TX 77042
FAX (713) 781-9260
Industry:
Graphics\Graphics Library
Math/Statistics\Statistical Analysis
Math/Statistics\Data Analysis
Product Description:
IMSL's Exponent Graphics is a significant advancement in the fields
of graphics creation and FORTRAN programming, designed specifically to
meet the needs of scientists, engineers, and statisticans who solve
problems using FORTRAN. With a comprehensive set of user-callable
FORTRAN subrontines, Exponent Graphics provides a flexible system for
creating custom graphs. Customized graphs for scientific and
engineering applications can be created with just two calls to
high-level subroutines through a hierarchical tree data structure.
Exponent Graphics is the resource of choice for scientific and
engineering computing, providing powerful capabilities for creating
high-quality graphs and plots. IMSL has over 20 years experience in
developing high-quality software products with over 12,000 customers in
65 countries.
Configuration Data:
not available
Pricing Information:
Available upon request
Educational Discounts Available
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
FIGARO+
TEM921500100 Complimentary Vendor
Liant Software Corporation
9920 Pacific Heights Blvd. #200
San Diego, CA 92121
FAX (619) 452-2547
Industry:
Graphics\Graphics Library
Application Dev./Software Eng.\Programming Tools
Product Description:
FIGARO+ is an enhanced implementation fo the Programmer's
Hierarchical Interactive Graphics System, or PHIGS standard. FIGARO+ is
used for both 2D and 3D graphics. FIGARO+ used customized workstation
controllers to provide tight integration with its environment. For
X-Windows, the FIGARO+ X-Workstation controller provides toolkit
independent (OpenLook and Motif) tightly integrated support. Issues
like color sharing, window sharing, and event queue merge are addressed.
For workstation environments with graphics acceleratiors, the
workstation controller takes advantage of hardware acceleration for
functions like lighting, shading, translating, rotating, etc.
FIGRO+ is the only portable implementation of the PHIGS standard it
runs source code compatible across all major workstation platforms,
1386/486 personal computers, mainframes, and supercomputers.
FIGARO+ is ideal for graphics and visualization applications for
scientific and engineering in all industries including aerospace,
chemical and phamaceutical, oil and energy. FIGARO+ has added many
extensions to the PHIGS standard to address specific needs of developers
in these industries. Extensions provide such capabilities as immediate
mode graphics, application definable markers, post-to-view, and
specialized quick-update methods. These extensions ensure your
application achieves maximum performance on your computing platform.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
FULL 5000 Graphics Software
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Graphics\Graphical Output (general)
Product Description:
FULL 5000 is a graphics system on which a full range of building
design, industrial and process plant, and electronic publishing
applications are built. Commands and macros are available to the user
for expert users and development of the system. Features include: full
3D data structures; superior drawing production functionality; object
definition designed for fast selection and graphics manipulation; object
intelligence through attributes or links to relational DBMS; high
performance on-screen and pop-up menu capabilities; macro language
programming with calculation, branching and sophisticated variable
capabilities; logfile playback of user sessions; user sessions; user
control of screen layouts and menus; a foundation of functionality for
add-on applications of many disciplines in a single, integrated
environment; interactive hidden line removal; interactive light-source
shading supporting textures, reflextivity and transparencies; external
access programming interface to user-developed programs outside of the
graphics system.
Prerequisites: Tablet or mouse
Recommended: 8 Mbytes memory
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
File/IT 7.O
CDA489660000 DAR
Capital Data, Inc.
3300 Main St.
Lansing, MI 48917
Mark Holms (517) 371-7100
Industry:
Graphics\Image Processing
Corporate Services\Information Storage/Retrieval
Data Management\Data Retrieval/Reporting
Product Description:
Information storage/retrieval CIC #1209 "COLD", computer output to
Lasaer Disk - Automated output of stores data files: Purged AR, Purged
AP.
Data retrieval and reporting - customer can look up file that have
been archieved to the optical disk, through electronic detrival instead
of paper storage.
Image processing - digitized storage of any document of any size
including Image management features rotation, expansion 2,4 or 8 times
and smooth PAN.
Configuration Data:
not available
Pricing Information:
Priced by number of users 2K to 500K
System Information:
9000/1500 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Graphics
Flash Tracer
VBI910660000 DAR
Video Bits
612 Fremont Ave. #3
So. Pasadena, CA 91030
John Woolverton (818) 403-0151
Industry:
Graphics\Animation
Product Description:
Flash Tracer is a unix renderer for StrataVision 3D. Flash Tracer
provides accellerated rendering capabilities to Macintosh users of
StrataVision, producing images and animations twenty times faster than
the Quadra 950-Apple's fastest Macintosh. After building scenes in
StrataVision the best selling animation package for the Macintosh-users,
use Flash Tracer's front end on the Macintosh to export the scene to the
HP workstation, where it is rendered, and the image returned to the
user's Macintosh. Flash Tracer lets Macintosh users harness the power
of the HP workstation with out having to give up their environment.
Users can ray trace large images and entire movies in hours, instead of
months.
Configuration Data:
not available
Pricing Information:
$1,900 Educational discounts available
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Graphics
GRAFIT
GRA950500100 ISV
Graphicus
6904 - 156th St. N.W.
Stanwood, WA 98292
FAX (206) 652-8315
Industry:
Graphics\Graphical Output (general)
Application Dev./Software Eng.\4th Gen. Lang./Report Writers
Data Management\Report/Form Generators
Product Description:
GRAFIT is a technically oriented graph generation system for
producing high quality graphs of manufacturing and laboratory data.
GRAFIT is ideal for graphic presentation of data from SQL databases or
flat files. It is flexible and provides many features to facilitate
your presentation:
* supports curve, bar and pie charts in any combination
* regression, splines, log axis, error bars
* easy to learn and use - used by thousands!
* super/subscripting, formulas, powerful annotation
* very flexible formatting
* supports printers, plotters, terminals, HPLaserjet
* supported under X-Windows Version 11
GRAFIT is ideal for application developers who wish to add powerful
data display capabilities to their programs. It supports a programmatic
interface callable from C or Fortran. Integration with other products
in the Graphicus family of integrated products provides a growth path
into statistics, quality analysis and technical illustration.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP1000 RTE
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Graphics
GRAFSMAN
ADA606500100 Premier VAR
Abacus Data Systems
3601 Algonquin Rd.
Rolling Meadows, IL 60008
FAX (708) 632-9991
Industry:
Graphics\Graphical Output (general)
Product Description:
GRAFSMAN is a unix based graphics package that allows users to
incorporate brilliant customized graphics into any application.
GRAFSMAN has many advanced features such as:
3D Graphics - Choice of colors - Choice of fonts - Unlimiited text
- Absolute Positioning of Legends - Multiple Patterns - Variable Line
Widths - Terminal Support - Pull Down Menus
Variety of Charts:
BAR - LINE - X-Y PLOTS - PIE - TEXT
Configuration Data:
not available
Pricing Information:
CPU and user based pricing
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Graphics
GREDIT
ASC481500100 ISV
Airflow Sciences Corp.
37453 Schoolcraft Rd.
Livonia, MI 48150-1007
FAX (313) 464-5879
Industry:
Graphics\Graphical Output (general)
Graphics\Graphical Output (plotter)
Product Description:
Using GREDIT a wide variety of charts and graphs may be easily and
quickly produced.
The Graphical Editor is a generalized tool designed for the
engineering user. It allows the definition and manipulation of several
regions to make one composite picture. Regions may contain:
Line Graphs - Scatter Graphs - Bar Charts - Pie Charts - Contour
Plots - Carpet Plots - Project Timelines - Graphs of Equations -
Scalable Text - Polygons - Arrows - Arcs.
User Defined Figures
The user may produce "default" format graphs using a single command
or may explicitly specify all characteristics. The program may be run
in an interactive mode, in batch modes as a high level 'programming
language' or from a simplified menu-driven interface.
GREDIT runs with a wide variety of terminals, workstations and
hardcopy devices. User support aids include: detailed documentation,
on-line help and a menu-driven tutorial.
HP users are eligible for a sixty day trial of GREDIT with no cost
or obligation.
Configuration Data:
not available
Pricing Information:
$2,500
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
IDL (R)
RES803660000 Premier ISV
Research Systems, Inc.
2995 Wilderness Pl. #203
Boulder, CO 80301
FAX (303) 786-9909
Industry:
Graphics\Image Processing
Graphics\Graphical Output (general)
Math/Statistics\Data Analysis
Product Description:
IDL, Interactive Data analysis Language, is a complete package for
the interactive reduction, analysis, and visualization of scientific
data and images. Optimized for the workstation environment, IDL
integrates a responsive array oriented language with numerous data
analysis methods and an extensive variety of two and three dimensional
displays into a powerful tool for researchers.
IDL supports an extensive data import capability, publication
quality hard copy output, and user-defined Motif graphical user
interfaces.
Users can create complex visualizations in hours instead of weeks
with the aid of IDL's high level capabilities and interactive
environment.
IDL is useful in physics, astronomy, image and signal processing,
mapping, medical imaging, statistics, and other technical disciplines
requiring visualization of large
Configuration Data:
not available
Pricing Information:
HP 9000 Series 300/400: $2950 HP 9000 Series 700: $3350 single CPU
license, Volume Pricing upon request.
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
IGCAD(R)
DRO480400000 DAR
Deneb Robotics, Inc.
3295 Lapeer Rd. W.
PO Box 214687
Auburn Hills, MI 48321-4687
Rakesh Mahajan (313) 377-6900
Industry:
Graphics\Animation
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Mechanical System Analysis
Product Description:
IGCAD(R) (Interactive Graphics Computer-aided Design) is a 3D
surface modeler for concept design and prototyping. Object primitives
based on polygons and NURBS are complemented by powerful operators such
as Boolean difference union/intersections, surface complemented by
powerful operators such as Boolean difference union/ intersections,
surface intersections, extrusion, lofting, mirroring, scaling, and
coloring. Elegant polygon surface, and snap together operators allows
the users to interactively and quickly shape data. Automatic data
editing and reduction operators are available to smooth, clean and
optimize data. Users can quickly create surfaced objects from pure
wireframe data, to allow a realistic display and check for
interferences. Data may be imported/ exported through interfaces such
as IGES, DXF, CATIA, MOVIE BYU, Wavefront and others. Custom data
translators are available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Graphics
IGRIP(R)
DRO480400000 DAR
Deneb Robotics, Inc.
3295 Lapeer Rd. W.
PO Box 214687
Auburn Hills, MI 48321-4687
Rakesh Mahajan (313) 377-6900
Industry:
Graphics\Animation
Mfg/Support\Integrated Mfg Software System
Mfg/Support\Robot Control
Product Description:
IGRIP(R) (Interactive Graphics Robot Instructional Program) is an
interactive, 3D graphic simulation tool for designing, evaluating and
off-line programming robotic workcells. Actual robotic/device geometry
motion attributes, kinematics, dynamics, and I/O logic are incorporated
to produce extremely accurate simulations. IGRIP optimizes critical
factors such as robot motion planning, cycle time analysis, collision
detection, calibration, and multiple I/O communication. Workcells are
developed using built-in libraries of robots and common peripheral
equipment. Other components can be created in the integral CAD package
or imported from other CAD packages via IGES, DXF, and direct
translators. Application specific IGRIP-based software is available for
painting, deburring, polishing, spot welding, arc welding, and sheet
metal bending. IGRIP applications include:
* Off-line Robot Programming
* Flexible Manufacturing System Simulation/Design
* General Purpose Simulation
* Articulated Assembly Design
* Interactive Walk-through and Visualization
* Robotic R&D
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
IMAGE
SMS941660000 VAR
Systems Migration Solutions
1754 Filmore St.
San Francisco, CA 94115
FAX (415) 563-9316
Industry:
Graphics\Image Processing
Product Description:
IMAGE is a powerful electronic document imaging and management
system. It offers all the capabilities needed to control incoming and
outgoing documents. It will file, route, track, archive, and manage the
flow of documents throughout an organization. The IMAGE development
toolkit offers seamless integration with existing application software.
IMAGE offers a choice on the lovel of integration that may be
implemented with the application software. The choice is based on
application needs, user requirements, and the amount of development
effort desired. IMAGE offers three levels of integration; screen level,
database level integration, and application level.
The IMAGE modules include:
Document Imaging and Retreival: Integration of text, graphics and
data - OCR and Graphics enabled - Windows and mouse driven - RDBMS
included.
Ghose: Forms Management with overlaid forms templates.
Cold: Computer output to laser disk in compressed ghost format
displayed as images and printed as needed.
Rendition billing: Provides the merging of spooled data with
supporting images. The laser printer and the computer becomes the
collator.
Work Flow: Routes, prioritizes and links documents throughout the
organization, and can be integrated with existing computer systems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Graphics
Image Alchemy
HAN950660000 Complimentary Vendor
Handmade Software, Inc.
15951 Los Gatos Blvd. #17
Los Gatos, CA 95032
Fax 1 (408) 358-2694
Industry:
Graphics\Image Processing
Graphics\Graphical Output (plotter)
Office Automation\Electronic/Desktop Publishing
Product Description:
Image Alchemy is an image processing utility which provides Image
file format conversion, JPEG Compression, Color conversion, High-quality
scaling, and dithering.
Image files may be imported and exported in any of 49 different
formats, including: TIFF, GIF, PCX, Targa, Sun Raster, XBM, XPM, JPEG,
HP PCL, HP RTL, etc. JPEG compression allows images to be compressed up
to 60:1 for efficient storage and transmission. The color conversion
features, combined with scaling and dithering, lets images be processed
for printing on HP laser printers and HP Electrostatic plotters.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Imaging Hardware
KRE970660000 ISV
Krig Research
17919 S.W. Rigert Rd
Beaverton, OR 97007
Bruce Sawyer (503) 649-2621
Industry:
Graphics\Image Processing
Earth Resources Engineering\Geologic Data Analysis
Complementary Hardware Prod.\Frame Capture
Product Description:
Krig Research provides image processing hardware and accessories
such as cameras, scanners, hardcopy devices, image processors, and other
items. Image Processing hardware is provided to acquire 8/12-bit gray
scale or 24-bit color images from standard video sources (NTSC, VCR's,
cameras, etc.), as well as non-standard video systems such as line &
area scanners, and high-res digital video cameras, such as 1024 X 1024,
2048 X 2048, and higher. Real-time processing is performed in hardware
on some models. Color and gray -scale hardcopy devices are also
available. Flatbed image scanners for scanning 8 1/2 x 11 sheets in
8-bit gray scale of 24-bit color are also available. Low-light cameras,
digital cameras, and a variety of video monitors are also available.
Configuration Data:
not available
Pricing Information:
Available upon request.
System Information:
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 DOMAIN
HP9000/700 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Intergraph Microstation
COM212660000 DAR
Compro Systems Inc.
6400 Baltimore National Pike
Baltimore, MD 21228
FAX (410) 788-1335
Industry:
Graphics\Graphical Output (general)
Graphics\Image Processing
Wholesale Distribution\Accounting
Product Description:
Intergraphs Microstation is a leading CAD System with visual
interface, command ICONs, true associative dimensioning, surfacing tools
(including NURGS), built-in rendering. A truely powerful piece of
software. Complete 20/30 capabilities.
Configuration Data:
not available
Pricing Information:
Please call quanity discount
System Information:
HP VECTRA HP-UX
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Graphics
K640 hi-res scanner
KRE970660000 ISV
Krig Research
17919 S.W. Rigert Rd
Beaverton, OR 97007
Bruce Sawyer (503) 649-2621
Industry:
Graphics\Image Processing
Complementary Hardware Prod.\Frame Capture
Product Description:
The K640 hi-res scanner is designed for hi-resolution and
high-performance scanning, both RGB color and mono chrome. The scanning
resolution is variable from 96 to 7680 dpi (resolution up to 10,000 dpi
possible for small areas). Both prints and negatives can be scanned.
The scan rate is much higher than conventional line scanners, due to the
fact that the scan sensor is rectangular, rather than linear. The scan
area acan also be much larger than standard line scanners, up to 40" x
24" (*larger sizes possible).
The control electronics are connected to the host computer by
ETHERNETt, using the NFSt file system. High speed interfaces, such
SCSI, are also available.
The K640 scanner is used in many applications, such as medical
records archival, and digital cartography, coupled with high capacity
optical storage.
The K640 can be configured with optical storage, data
compression/decompression, and high-solution display capabilities.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Graphics
MID 5000 Graphics Software
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Graphics\Graphical Output (general)
Product Description:
MID 5000 is a graphics system on which a full range of building
design, industrial and process plant, and electronic publishing
applications are built. Commands and macros are available for expert
users and development of the system. Features include: full 3D data
structures; superior drawing production functionality; object definition
designed for fast selection and graphics manipulation; object
intelligence through attributes or links to relational DBMS; high
performance on-screen and pop-up menu capabilities; macro language
programming with calculation, branching and sophisticated variable
capabilities; logfile playback of user sessions; user control of screen
layouts and menus; a foundation of functionality for add-on applications
of many disciplines in a single, integrated environment. A general
drafting application, GDI (General Drafting Interface) is included.
Prerequisite: Tablet or mouse
Recommended: 8 Mbytes memory for all workstations
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
MTrace
VAY525660000 ISV
Vaytek, Inc.
305 West Lowe #109
PO Box 732
Fairfield, IA 52556
FAX (515) 472-8131
Industry:
Graphics\Image Processing
Product Description:
MTrace is a 2D image mensuration program. It creates regions of
interest and outputs statistics based on those regions of interest. The
statistics include ROI statistics and pixel statistics. ROI statistics
include area, perimeter, length, major/minor axes, xy position, centroid
and histogram. Pixel statistics include mean, standard deviation,
maximum, minimu, running sum, etc. MTrace has a palette editor and
allows for spatial and intensity calibration of the 2D image. It also
allows the user to crop and cut to the ROI, then save the cropped
images. This lets the user full an ROI, and save a series of cropped or
filled ROI's to create subvolumes. It also has tracing editor and
allows for autotracing of the images. MTrace reads and writes 8 bit of
16 bit raw images. It also reads and writes the TIFF image format, and
the SCI image library format.
Configuration Data:
not available
Pricing Information:
$1995.00
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Micro-Tome
VAY525660000 ISV
Vaytek, Inc.
305 West Lowe #109
PO Box 732
Fairfield, IA 52556
FAX (515) 472-8131
Industry:
Graphics\Image Processing
Product Description:
Micro-Tome is a digital deconvolution program designed to replace
or supplement the Laser scanning confocal microscope. Micro-Tome uses
algorithms and a point spread function for the microscope to calculate
the out-of-focus haze and remove it. Micro-Tome is easy to use. Its
main features incldues:
* Works with any standard research microsope
* Uses any light wave length
* Improves X, Y, and Z Axis resolution
* Deconvolues an image in just seconds
* Works with true color images
* Works with any mode: I.R. Bright-field, fluorescent, confocal,
nomarski
Configuration Data:
not available
Pricing Information:
$14,950
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Microbase
PMC878660000 ISV
PMC Inc.
PO Box 787
Socorro, NM 87801
Patrick Buckley (505) 835-2951
Industry:
Graphics\Graphics Library
Graphics\Graphical Output (general)
Graphics\Graphical Output (plotter)
Product Description:
Microbase is a PC implementation of the HP starbase graphics
standard. Microbase brings the power of the Starbase standard to PC's
allowing Starbase applications to be ported between HP9000 machines and
PC's. Microbase is a complete 3-D library for Hercules, CGA, EGA, and
VGA cards. It is compatible with Microsoft C, Microsoft, Fortran,
Borland Turbo C, and the Microway NDP C compiler for 80386 protected
MODG applications. As a learning aid, source code is provided for 39
example applications.
Configuration Data:
80X86 PC with a Hercules, CGA, EGA, or VGA graphics card.
Microsoft C or Fortran, turbo C, or NDP C.
Pricing Information:
$195 net 30 days. for Socorro, NM. - Add 5.5% sales tax for New
Mexico residents.
System Information:
HP VECTRA MS-DOS
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
NPGL
NGR787660000 ISV
Portable Graphics, Inc.
2201 Donley Dr. #365
Austin, TX 78758-4538
FAX (512) 832-0752
Industry:
Graphics\Graphics Library
Product Description:
The NPGL graphics library gives Hewlett-Packard Apollo 9000 Series
700 workstation users access to 3-D visualization applications developed
for Silicon Graphics' (SGI) workstations. With NPGL, a
hardware-independent IRIS GL 4.0-compatible graphics library, software
developers can move their GL applications to the HP 9000/700 environment
by simply recompiling the C or FORTRAN source code used on the SGI
platform. Most applications prot within a few days with little, or no
code changes.
NPGL's full and efficient use of the Starbase graphics language
transparently supports PowerShade and all HP grapahics accelerators. A
GL-based application maintains complete GL compatibility without
compromising the power of the HP workstation.
NPGL is fully compatible with GL and GLX mixed-mode programming and
takes care of windowing issues automatically. It also uses Xlib, so any
window manager, including Motif, can be easily applied to enhance the
user interface.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Graphics
PICES
VIT750660000 Complimentary Vendor
Visual Information Technologies (VITec)
3460 Lotus
Plano, TX 75075
Winston Guillory (214) 985-2285
Industry:
Graphics\Image Processing
Data Management\Image Tools/Utilities
Earth Resources Engineering\Geologic Data Analysis
Product Description:
The Programmer's Image Computing Envionment Software (PICES) is an
application programming interface that enables programmers to add
imaging capability to their application products. PICES allows
developers to create versatile, portable applications that incorporate
any image data type, including true-color photographs, satellite
imagery, documents and medical and remote sensing data. PICES
functionality is applicable to the Military/Defense, GIS, Publishing,
Medical, Oil and Gas markets.
PICES offers a comprehensive systems software environment that
provides a powerful collection of algorithms and system level services
to accelerate the development of applications. Additionally, PICES
capabilities feature advanced software features - object-based
programming, display list interface, device and resolution independence,
industry-accepted standards (C, X-Windows, MOTIF,UNIX), user
extensibility, and a networking capability.
PICES also provides for a consistent application programming
interface that permits the flexible migration of PICES software across
the Hewlett-Packard HP-UX family of products and the entire VITec
product line, allowing for low-end to high-end applications
compatibility and investment protection.
Configuration Data:
not available
Pricing Information:
$1,900 per copy
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
PLOT 77 (200/300)
IEM805500100 Complimentary Vendor
IEM, Inc.
1629 Blue Spruce
PO Box 1889
Ft. Collins, CO 80524
Anita Sayed (303) 223-6071
Industry:
Graphics\Graphical Output (plotter)
Product Description:
Library of powerful plotting subroutines, language independent and
calcomp subroutine compatible.
PLOT 77 is a Library of 14 powerful, yet ease-to-use plotting
subroutines that can be called by a FORTRAN or PASCAL program. Plot 77
automatically constructs, scales and calibrates the axes of a graph for
any array of coordinate data. Because Plot 77 is an independent
Library, it may be used alone or linked with existing software. It is
callable from both FORTRAN and PASCAL programs.
Most HP HP-IB and RS232 plotting devices are completely
compatible, as are most raster graphics printers (Via "Dump Graphics"
key). Plot 77 supports all HP series 200/300 color monitors (9836C,
9837C) as well as various color plotters. Execution is so rapid that
real-time display is possible.
The porting of standard Mathematical, Statistical, and Structural
Analysis Programs (To Mention A Few) is easily achieved with the IEM
FORTRAN 77 Compiler and PLOT 77. The PLOT 77 SPLINE fit enhancement
also calculates the "BEST-FIT" curve for the data array under analysis
and displays the trend line. Plus, automatic axis scaling constructs an
axis with linear or Logarithmic Graduations suitable for purpose.
Other Features Include:
* Character Strings and Symbols
* Logarithmic Plotting
* Data Array size limited only by Internal Memory size
* Array Optimum Scaling
* Virtual Device Interface, HP-IB, RS232 Monitor PLOT 77 is
transported on one disk, 3 1/2 or 5 1/4 and comes complete with a user's
Manual and a Three Month Warranty.
Configuration Data:
not available
Pricing Information:
$395.00 (U.S.)
System Information:
HP9000/300 PASCAL
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
PV-WAVE
IMS770500100 Premier ISV
Visual Numerics Inc. (VNI)
9990 Richmond, #400
Houston, TX 77042
FAX (713) 781-9260
Industry:
Graphics\Graphical Output (general)
Graphics\Image Processing
Math/Statistics\Data Analysis
Product Description:
PV-WAVE is a Visual Data Analysis software which provides
integrated graphics, image processing, statistics, signal processing,
and mathematical capabilities. User understand trends, draw
conclusions, and produce results. Applications are developed quickly
using high level commands, macros and procedures, or links to external
programs. The PV-WAVE data visualization and analysis solution
dramatically increase the productivity of engineering and scientific
research. PV-WAVE is available in two versions: Command Language and
Point and Click.
Configuration Data:
not available
Pricing Information:
$3150 and up
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Graphics
PerfectScan Color/Grayscale Image
PBY681400000 Complimentary Vendor
Perfect Byte, Inc.
909 N. 96th St., #6
Omaha, NE 68114-2593
Nicole Carriger (402) 554-1938
Industry:
Graphics\Image Processing
Product Description:
The PerfectScan Color/Grayscale Image Scanner digitizes hard-copy
originals up to 8.5" x 14" using reflected light. This standalone
flatbed SCSI input peripheral converts maps, diagrams, illustrations and
photographs into 24-bit color, 8-bit grayscale and 1-bit monochrome
images, at up to 400 dpi.
PerfectScan software controls image brightness, contrast,
resolution, and size. Software permits user to view, rotate, invert and
crop a scanned image, and save it to popular disk file formats,
including X bitmaps, PostScript, TIFF, Targa and Artisan raster files.
PerfectScan software prints scanned images on any PostScript device as
well. A transparency scanning option is available.
Perfect Byte, Inc. provides toll-free technical support
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Picture Center
KRE970660000 ISV
Krig Research
17919 S.W. Rigert Rd
Beaverton, OR 97007
Bruce Sawyer (503) 649-2621
Industry:
Graphics\Image Processing
Complementary Hardware Prod.\Frame Capture
Product Description:
Picture Center(TM) in an X/Motif imaging system providing
transparent object-oriented processing of both 2d data, and 3d
volumetric data, including volume rendering and volume animation. User
code can be added directly into the system. Picture Center(TM) is
command-driven, menu-driven, and sequencer driven (a graphical
programming environment). Features include parallel processing, a
user-programmable, expert system, hyper-text data base, particle
measurements, frequency domain processing, comprehensive image
processing, multi-spectral analysis, statistical analysis, and advanced
color image processing in multiple color spaces (YIQ, HSV, RGB, etc.).
Professional quality draw, paint, graphics and bitmap editing are
provided, including an alpha-merge option to smoothly blend two images
together. Special hardware interfaces optional (standard and hi-res
frame grabbers, and cameras, scanners, automated microscope systems).
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Pixar's RenderMan Toolkit
PIX949400000 ISV
Pixar
1001 W. Cutting Blvd. #200
Richmond, CA 94804-2452
FAX (415) 736-0388
Industry:
Graphics\Graphics Library
Architectural/Structural Eng.\Design/Simulation/Analysis
Graphics\Animation
Product Description:
Pixar's RenderMan Toolkit, based upon the pervasive RenderMan
Interface(R) 3D scene description specification, provides a framework
for developing products which produce photorealistic images for CAD/CAM,
animation, product design, architecture, and scientific research.
Pixar's RenderMan Toolkit provides users a rendering solution for
models that defines such visual attributes as texture amount of
reflected light, influence of light from multiple sources and of
different types and other features not properly described by geometric
shape alone. Pixar's RenderMan includes:
RenderMan Interface Specification-a widely adopted scene
description language-links 3D modeling and 3D rendering programs without
the need for translators. Procedural Interface-a pre-defined collection
of over 90 procedural calls used to transfer scene descriptions for
modeling programs to visual rendering programs. RenderMan Shading
Language-eases the complexity of shading with support for arbitrarily
complex surface shaders, light sources, atmospheric effects, surface
displacement, and pixel processing functions. PhotoRealistic
RenderMan-used for rendering photorealistic images-based upon proven
algorithms developed by Pixar for the production of high-quality,
computer-generated imagery-supports standard features like distributed
rendering, antialiasing, texture mapping, motion blur, depth-of-field,
CSG, transparency of 3D scenes, and wide variety of geometry.
Configuration Data:
not available
Pricing Information:
$3500 U.S. - International
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Pixel!FX
MEN750660000 ISV
MENTALIX, Inc.
1700 Alma Dr. #110
Plano, TX 75075
John D. Carnegie (214) 234-6611
Industry:
Graphics
Product Description:
Pixel!FX is a software package that allows users of UNIX
workstations to incorporate and manage digital image information.
Pixel!FX provides multiple image windows and multiple toolkits and
enables users to scan, view, manipulate and print various types of image
data, including line art, grayscale, and TrueColor images. Pixel!SCAN
is a user friendly toolkit that provides scanning of images with control
over resolution, intensity, contrast and mode. Pixel!MARK is an image
retouch/annotate toolkit that attaches directly to the image window and
provides drawing, copying, pasting, insertion, rotation and scaling.
Pixel!IMAGE is an image filter and transform tool that provides
smoothing, sharpening, shadowing, and format conversion (color to
grayscale to line art, etc.). Optional toolkits that are available
include Pixel!Print (provides a direct interface to the Kodak XL-7700
color printer) and Pixel!OCR provides an omnifont recognition engine to
convert text images to ASCII).
Configuration Data:
not available
Pricing Information:
$995.00 to find out more about Pixel!FX or for the name of the
dealer nearest your call us at (214) 423-9377
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Plotplus
ISI915500100 Complimentary Vendor
Interface Solutions (IS)
1320 N. Beachwood Dr.
Burbank, CA 91506
Patrick Thompson (818) 762-9688
Industry:
Graphics\Graphical Output (plotter)
Mfg/Aero/Defense/Govt Contract\Computer-Aided Manufacturing
Mfg/Discrete\Computer-Aided Manufacturing
Product Description:
Now, a software product that provides you an interface between
Hewlett-Packard 9000 Series 300 computer workstations, the leader in
advanced workstation graphics, and Versatec plotters, the leader in
narrow/wide, high resolution electrostatic and thermal plotters.
PlotPlus provides a total solution to your HP workstation and Versatec
graphics needs. With PlotPlus, you can now produce color/monochrome
hardcopies on Versatec plotters from display bitmaps created on your HP
workstations. Also, with our recent addition of HP-GL support, you can
now produce full size, true dimensioned color hardcopies from HP-GL
files to the Versatec plotter(s). All this for much less than the cost
of a video hardcopy controller alone.
General features include: Plot rotation - Support of both
electrostatic and thermal plotter models - Multiple workstation access
to one plotter - Device locking/unlocking to manage plotter contention.
BITMAP Features include: Six selectable color modes - Selectable
pixel expansion factor - Selectable pixel color dither cell size -
Bitmap windowing using rubber band rectangle input.
HP-GL Features include: Emulation of eleven HP pen plotters - 10
paper sizes (A,B,C,D,E,A4,A3,A2,A1,A0) - 15 pens in 7 colors and up to
31 widths - User definable drawing scale factor - Automatic paper sizing
conversions - 41 HP-GL commands, including polygon mode and fill - 18
different fill types - Isotropic and Anisotropic coordinate mapping.
Configuration Data:
not available
Pricing Information:
$2500.00 PlotPlus, P/N 9300
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Printer Plot
LWJ805400100 ISV
James Assoc.
7329 Meadow Ct.
Boulder, CO 80301-3952
Dr. Larry James (303) 530-9014
Industry:
Graphics
Graphics\Graphical Output (plotter)
Product Description:
Use any HP PCL Printer as a plotter. Reads HPGL files and plots at
the full printer resolution.
Takes its input from standard input and sends its output to the
standard output. Pipe plotter output to this program, pipe its output
to the print spooler. The output is sent to an HP printer which will
handle bit-map graphics. Output is at the full resolution of the
printer, 300 d.p.i. in the case of the LaserJet. Varying pen widths are
supported. Color is supported on the PaintJet. Graphics may be scaled
and shifted to zoom in on part of a drawing.
Additional comments: Phone-in support included in purchase price.
Configuration Data:
not available
Pricing Information:
$195.00
System Information:
HP9000/200 BASIC
HP9000/300 BASIC
HP9000/400 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Graphics
QUEST(TM)
DRO480400000 DAR
Deneb Robotics, Inc.
3295 Lapeer Rd. W.
PO Box 214687
Auburn Hills, MI 48321-4687
Rakesh Mahajan (313) 377-6900
Industry:
Graphics\Animation
Mfg/Support\Factory/Plant Automation
Mfg/Discrete\All Discrete Manufacturers
Product Description:
QUEST(TM) (Queueing Event Simulation Tool) is an interactive 3D
discrete event manufacturing process simulation system. Both discrete
and continuous factory floor events can be simulated and integrated for
realistic visualization, analysis, and management of complex
manufacturing systems. Acturl factory floor components can be linked to
comprehensive sets of predefined and/or user defined logics to
realistically model any manufacturing situation. Just-in-Time inventory
control and FMS are supported in QUEST, and both push and pull
manufacturing mechanisms can be integrated in the same model. QUEST is
a unique tool for accessing productivity, cost-effectiveness and
efficiency of existing or porposed manufacturing systems before
investing costly time and capital.
Some select features: Full feature 3D CAD system - Industry proven
DXF and IGES translators - Batch control for Design of Experiments -
Interactive debugging and path tracing - Report generation in graphic
and ASCII form - Activity-based Cost Analysis.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
SAS/NVISION(TM) Software
SAS275000000 Premier ISV
SAS Institute Inc.
SAS Campus Dr.
Cary, NC 27513
Dennis Massengill (919) 677-8000
Industry:
Graphics\Animation
Graphics\Graphics Library
Graphics\Image Processing
Product Description:
SAS/NVISION(TM) software, a component of the SAS(R) System, is
three-dimensional modeling, rendering and animation software for
spectacular high-end graphics. All of these features, plus a library of
objects, are integrated into a single, powerful, command- or menu-driven
package.
SAS is a registered trademark of SAS Institute Inc., Cary, NC, USA.
SAS/NVISION is a trademark of SAS Institute Inc.
Configuration Data:
not available
Pricing Information:
Licensed on an annual basis; contact vendor
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Graphics
SCIView
3BI662660000 ISV
3D Biomedical Imaging, Inc.
4210 Shawnee Mission Pkwy.
Fairway Office Center - 100A
Shawnee Mission, KS 66205
FAX (913) 363-9406
Industry:
Graphics\Animation
Graphics\Image Processing
Math/Statistics\Data Analysis
Product Description:
SCIView is a 2D and 3D scientific visualization and analysis
software package for individual images (2D) or volume data (3D) surface
and 3D volumetric rendering are provided along with data segmentation
tools for defining objects within the data volume. SCIview 2D analysis
allows measurements, counting, statistics, multiplanar reformations, and
image processing including filtering and texture analysis. Texture
analysis and classification allow for quantitation of image patterns and
tonal variations. Image registration tools allow alignment and display
of multiple data sets from different protocols or time points. SCIview
offers comprehensive quantitation in 2D and 3D researchers who need more
than just 3D visualization.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
STAR Architecture UX6 (Animation)
ARC926400000 ISV
STAR (Ridgeline Software)
23 Morning Glory
Irvine, CA 92715
Fax (714) 854-0112
Industry:
Graphics\Animation
Architectural/Structural Eng.\Design/Simulation/Analysis
Graphics\Graphical Output (general)
Product Description:
STAR Architecture UX6, Animation and Solid Rendering, is the most
impressive specialization of STAR Architecture UX. It is the first
image rendering application to be totally integrated into a specialized
architectural tool.
The 3-D mock-up used for conception, drafting, bill of material
extraction, specifications and object management is now employed to show
a client the real appearance of the projected building by "walking"
inside and out. That can be done in real-time or transferred to a
standard VHS V.C.R.
Image production is reduced to a few seconds. You may obtain an
image rendering with determination of the appropriate hue for each
pixel, with cast and natural shadows under the incidence of several
light sources.
Hardcopy results can be produced on a 64-hue ink-jet color printer.
The reproduction is achieved by using a professional screen copier with
256 or 4096 hues, or by a transfer in a slide producing peripheral or a
professional V.C.R.
The performance of UX6 depends on the type of computer, the screen
interface, the graphics processor, and the number of color planes. UX6
does not include hardware for transfer to video tools.
Configuration Data:
SRX/TURBO SRX
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
SVS2-AT
COG018660000 ISV
Cognivision, Inc.
319 Littleton Rd. #100
Westford, MA 01886
Olin Lathrop (508) 392-0881
Industry:
Graphics\Animation
Graphics\Graphical Output (general)
Media\Television Broadcasting
Product Description:
The SVS2-AT is a complete computer controlled video system capable
of writing an animation sequence to video tape, one frame at a time. It
is centered around the Panasonic AG-7500 video tape deck, which can
write to high quality SVHS tapes for making masters, or directly to
normal 1/2 inch VHS tapes.
The SVS2-AT is a complete, ready to use system. It comes video
tape deck, monitor, RGB to SVHS transcoder, tape deck controller,
timecode generator, all the necessary wires, cables and adapters, and
software. Even a power strip is included so that the whole system needs
only one standard 3-prong outlet.
The SVS2-AT requires a DN10000VS with the genlock option installed,
and takes the RGB video from the back of the monitor. The SVS2-AT comes
with an AT bus video output board that produces the video signals.
Configuration Data:
not available
Pricing Information:
SVS1-C: $12,900
SVS1-T: $14,900
System Information:
HP9000/400 DOMAIN
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Spotlight Ray Tracer for MicroStation
SGR077660000 Complimentary Vendor
Spotlight Graphics, Inc.
80 Broad St. #4M
Red Bank, NJ 07701-1930
FAX (908) 530-8242
Industry:
Graphics\Graphics Library
Graphics\Animation
Product Description:
With the Spotlight Ray Tracer for MicroStation, photorealistic
images are just a push of a button away. The Spotlight Ray Tracer is a
MicroStation Development Language (MDL) application which creates
spectacular renderings of design files without ever leaving the familiar
MicroStation user interface. Realistic reflections, transparency, and
shadows are rendered with blazing speed.
With the Spotlight Ray Tracer, you'll be able to create more
sophisticated designs, and get your projects done in a hurry, all
without ever leaving MicroStation. Time previously spent installing
hard to use packages, and learning complicated, proprietary user
interfaces, can now be spent doing productive design work. With its
easy to use interface and ultra fast ray tracing performance, the
Spotlight Ray Tracer is the professional choice for advanced
MicroStation rendering.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
9000/1200 HP-UX
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Graphics
Spotlight Rendering
SGR077660000 Complimentary Vendor
Spotlight Graphics, Inc.
80 Broad St. #4M
Red Bank, NJ 07701-1930
FAX (908) 530-8242
Industry:
Graphics\Graphics Library
Graphics\Animation
Product Description:
Spotlight Graphics provides two compatible libraries, ARTlib(tm)
and RAYlib(R), which include all the functions needed to produce high
quality, photorealistic rendered images. All library functions are
C-callable under the UNIX(R) or DOS operating systems and can be used in
a wide range of applications including broadcast animation,
computer-aided design, scientific visualization, vehicle simulation and
virtual reality. ARTlib can provide embedded, high quality rendering
capability incuding flat, Gourand, and Phong shading with transparency,
texture, shadow, reflection, bump and environment mapping. We also
support high performance ray-tracing, and radiosity with our RAYlib
library. Both libraries support advanced features like 24 bit color,
Z-buffer compositing, antialiasing, and multiple light sources.
Application developers choose Spotlight Graphics for their embedded
renderer due to our combination of high quality and rendering
efficiency, customer service, and reasonable cost.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
9000/1200 HP-UX
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Graphics
TECPLOT
AEN980660000 ISV
Amtec Engineering, Inc.
PO Box 3633
Bellevue, WA 98009-3633
FAX (206) 827-3989
Industry:
Graphics\Graphical Output (general)
Math/Statistics\Data Analysis
Office Automation\Presentation Graphics
Product Description:
TECPLOT is an interactive program for visualizing and plotting
technical data in one, two, and three dimensions. This menu-driven
program is easy to learn and use. TECPLOT allows a user to plot data in
the form of continous lines, color-flooded contours, vectors,
streamlines, scatter plots, and mesh plots on 2D and 3D surfaces, plus
many types of XY plots and word charts. With the optional 3DV
Extension, 3D volumetric data visualization is integrated into TECPLOT
to provide features such as iso-surface generation. Data may be
organized into rectangular, non-rectangular, triangular, and
quadrilateral mesh structures. Random data may be plotted directly,
triangulated, or interpolated into an existing mesh structure.
Features include: light-source shading, data manipulation, text
with Greek and math symbols, drawing tools, up to 64 simultaneous plots
on the screen, overlayed plots, macro language, batch processing,
animation, and user specification of different plot attributes on
subdivisions of the data. Data is read from ASCII and binary files.
Plot output includes: PostScript (monochrome, color, encapsulated),
HPGL, HPGL2, and numerous raster formats.
Configuration Data:
not available
Pricing Information:
$649 - HP Vector PC
$1695 and up - HP Workstations
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
TEMPLATE
TEM921500100 Complimentary Vendor
Liant Software Corporation
9920 Pacific Heights Blvd. #200
San Diego, CA 92121
FAX (619) 452-2547
Industry:
Graphics\Graphics Library
Application Dev./Software Eng.\Programming Tools
Product Description:
TEMPLATE is an enhanced graphics productivity tool. TEMPLATE
provides the majority of functionality found in PHIGS and GKS. TEMPLATE
also contains many high-level reatures including charting, graphing,
contouring and surfaces, axis routines, hidden line removal, legend, and
meddage areas. TEMPLATE provides functions for both 2D and 3D and is
device and computer independent. Application programs can create 3D
hierarchical objects and dynamically rotate, scale, and translate them
with simple and mnemonic subroutine names.
TEMPLATE is used in a multitude of scientific and engineering
applications including molecular modeling, finite element analysis,
manufacturing, multi-dimensional data analysis, graphics simulation, and
general data visualization.
TEMPLATE has an impressive array of device driver support including
PostScript, HPGL, CGM, Tektronix terminals. X-based workstations and
terminals can also be used for both input and output.
Configuration Data:
HP Software Required: HP-UX
HP Hardware Required: HP 9000
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Tech Illustrator w/BASE 5000
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Graphics\Graphical Output (general)
Office Automation\Integrated Graphics Software
Office Automation\Electronic/Desktop Publishing
Product Description:
Tech Illustrator application software is a set of easy-to-use 2D
and 3D drawing and annotation tools designed to create illustrations
ranging from simple schematics to complex components in a variety of
projections including isometric, dimetric, trimetric and perspective.
Comprehensive graphics creation, text entry and editing functions are
easily accessed through on-screen menus. 12 standard Bitstream fonts
are included for high quality display of annotation and for the creation
of 3D typography. Standard symbol libraries, custom symbol generators
and crosshatch and texture patterns are also included. Using Auto-trol
BASE 5000 Graphics Software, Tech Illustrator features a full 3D data
structure and complete on-screen menu access to the illustration tools.
Included with the TI product is a "Users Package" which consists of
instructional documentation, video and training software media.
The following options may be purchased separately to enhance the
above application software product.
* Tech Image Raster Editing Option - EIMS
* The following options may not be used with the above application
software product.
* Interactive Hidden Line Removal Shading
* Recommended: 8 Mbytes memory - 150 Mbytes disk
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Tech Illustrator+PLUS w/MID 5000
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Graphics\Graphical Output (general)
Office Automation\Integrated Graphics Software
Office Automation\Electronic/Desktop Publishing
Product Description:
Tech Illustrator+PLUS application software is a set of powerful,
full-function 2D and 3D drawing, on-screen digitizing and annotation
tools designed to create illustrations ranging from simple schematics to
complex components and assemblies in a variety of projections including
isometric, dimetric, trimetric and perspective. Comprehensive graphics
creation, text entry and editing functions are easily accessed through
on-screen menus. 12 standard Bitstream fonts are included for high
quality display of annotation and for the creation of 3D typography.
Standard symbol libraries, custom symbol generators and crosshatch and
texture patterns are also included. Based on Auto-trol MID 5000
Graphics Software, Tech Illustrator+PLUS
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Temple DATATAP Analysis
LWJ805400100 ISV
James Assoc.
7329 Meadow Ct.
Boulder, CO 80301-3952
Dr. Larry James (303) 530-9014
Industry:
Graphics
Graphics\Graphical Output (plotter)
Math/Statistics\Data Analysis
Product Description:
Extremely fast, powerful, friendly, graphically-oriented data
analysis system. Includes DATATAP Graphics
Temple DATATAP Analysis is a fully integrated package for drawing,
analyzing and plotting data. Your raw data is entered into the computer
in any of a number of ways compatible with automated or manual
measurement systems. Up to 10 separate curves and 2000 data points may
be entered and analyzed simultaneously. You immediately see the raw
data graphically presented. You may then, if you desire, transform the
data, using something as simple as a scaling factor or a rectangular to
polar transformation, or as complex as a Fast Fourier transform or an
autocorrelation function, or even your own function based on data in
another curve. You can integrate or differentiate the data. Quickly
manipulate it into the form which most easily allows you to see the
information. You can perform complete statistical analysis of your
data,and have the results in both tabular and graphic form. Statistical
results include probability/confidence limits. You can do various forms
of curve fitting from a simple linear least squares regression analysis
to the highest validity Marquadt non-linear curve fit. Many standard
functions are supplied for curve fitting or you may define your own.
Parameters which vary from curve to curve may also be extracted,
plotted, transformed and curve fit. Data may be averged to eliminate
noise, or random noise may be added to see its effect on your analysis
Maximum and minimum values can be found. Data may be edited graphically
or numerically. At any point in the data analysis process, a
publication-quality graph may be plotted using the full capabilities of
DATATAP Graphics. The human interface to access these powerful
capabilities was carefully engineered to be intuitive and easy to learn,
yet extremely fast for an experienced user. Do a much more thorough
analysis of your data in much less time.
Configuration Data:
not available
Pricing Information:
$990.00 ($695.00 if upgrading from DATATAP Graphics)
System Information:
HP VECTRA MS-DOS
HP9000/300 BASIC
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Graphics
Temple DATATAP Graphics
LWJ805400100 ISV
James Assoc.
7329 Meadow Ct.
Boulder, CO 80301-3952
Dr. Larry James (303) 530-9014
Industry:
Graphics\Graphical Output (general)
Corporate Services\Data Entry
Graphics\Graphical Output (plotter)
Product Description:
Temple DATATAP Graphics is a fully integrated package for drawing,
entering and plotting data. Your raw data is entered into the computer
from BDAT or ASCII files, from a digitizer, or from the keyboard. Up to
10 separate curves and 2000 data points may be entered and plotted
simultaneously. The data may be edited graphically or numerically. The
curves may have either log or linear scales, and may be plotted on
either cartesian or polar coordinates. Includes plotting of x and y
error bars, histograms, inset plots on other plots, point symbols,
spline curves, multiple labels, multiple line types and much more.
Virtually every aspect of the resulting plot, from the number of
tic marks on the axes, to whether the lines should be drawn through the
symbols, to the position, size and angle of the labels, may be easily
adjusted by the user by simply tapping a few function keys or turning
the KNOB. You can zoom in on a subset of the data for careful
examination. Add legends or text anywhere on the graph. Preset formats
for single- or double-column camera ready artwork directly from your
plotter. Multiple graphs accurately aligned with respect to each other.
Different axes are possible on the left and right sides of the graph, or
on the top and bottom of the graph. All text on the graph, such as
labels, can include superscripts and subscripts. Separate color
selection for each aspect of the graph for color CRTs and multiple-pen
plotters. The human interface to access these powerful capabilities was
carefully engineered to be intuitive and easy to learn, yet extremely
fast for an experienced user. Do professional quality plotting of your
data exactly the way you want it in much less time than you now spend
plotting with limited flexibility.
Configuration Data:
not available
Pricing Information:
$299.00
System Information:
HP9000/300 BASIC
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Graphics
The HOOPS Graphics System
ITH148660000 Complimentary Vendor
Ithaca Software
1001 Marina Village Pkwy.
Alameda, CA 94501
Gary Wayne (415) 523-5900
Industry:
Graphics\Graphics Library
Application Dev./Software Eng.\Programming Tools
Product Description:
HOOPS is a library of graphics programming tools used for
developing advanced interactive graphics aplications for science,
engineering and business. HOOPS is the leading 3D platform independent
graphics interface for personal workstations.
HOOPS is built around an innovative declarative programming
interface that greatly simplifies the design and production of 2D & 3D
graphics applications. The resulting code is 100% compatible across all
of the leading workstations, window managers and graphics devices,
including HP9000/300, 9000/800 workstations, HP Vectra Personal
Computers and HP-GL compatible output devices.
HOOPS is built around a hierarchical database optimized for
interactive graphics. Simple declarative commands control which objects
in the database are displayed, where the objects are displayed, and how
they are rendered. This high level interface is both easy to use and
optimized for a large range of graphics devices.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
Universal Plotting Program
LWJ805400100 ISV
James Assoc.
7329 Meadow Ct.
Boulder, CO 80301-3952
Dr. Larry James (303) 530-9014
Industry:
Graphics\Graphical Output (plotter)
System Management\System Programs & Utilities
Product Description:
Draws graphs in publication-style format of y versus x and, if
desired, a parameter.
Universal Plotting Program - saves you hours when plotting up data.
It draws graphs in publication-style format of y versus x and, if
desired, a parameter. Scaling of axes, centering lables, and data point
sorting are done automatically as are other academic aspects of
plotting. The program has its own command languages, allowing a large
number of options and manual override of any automatic feature desired,
while avoiding the need for the user to answer a whole list of
questions. The data can be input from the keyboard, from data file, or
from a function typed in from the keyboard. Data may then be listed or
modified. Curves are drawn through the data points, which may have
standard deviations specified if desired, using a cubic spline routine.
The affordable Utilities Pac also includes several other programs
which will increase the efficiency and ease of use of your HP 9000
computer. Printer Plot - plots HPGL files to any HP-PCL printer at full
printer resolution--300 dpi on the LaserJet. Supports portrait or
landscape plotting. Supports color on the PaintJet. Execution Time
Profiller - identifies time bottlenecks in any Basic program, showing
how the program can be modified to run faster. Compare - compares two
versions of the same BASIC program, and prints out the differences.
Changes in indentation and comments are ignored, only real code
differences are printed out. Excellent for documenting changes. You
can compare by line number, or by position in the program.
Bug Find - checks the source code (SAVEd version) of a Basic
program for bugs not normally found until the program is executing -
Plus many more utilities.
Configuration Data:
not available
Pricing Information:
$195, specify media
System Information:
HP VECTRA MS-DOS
HP9000/300 BASIC
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Graphics
VIPER Series
PGR950400000 Complimentary Vendor
Parallax Graphics, Inc.
2500 Condensa St.
Santa Clara, CA 95051
Stu Taylor (408) 727-2220
Industry:
Graphics\Animation
Complementary Hardware Prod.\Video Input
Complementary Hardware Prod.\Frame Capture
Product Description:
The VIPER Series is the latest in high performance videographic
processor from Parallax Graphics. It is designed for use on VME, AT and
Q bus architectures.
The VIPER Series offers the unique ability to integrate high
performance graphics and realtime NTSC or PAL video on the same 1280 X
1024 60Hz non-interlaced display, making it the ideal choice for
applications requiring the combination of flicker-free interactive video
and high resolution graphics. The VIPER with optional video consists of
two cards.
Key features of the VIPER Series include:
* 14 MIP ASIC Processor
* 28 Mpix/sec Block Image Trinsfer (BLIT)
* 50,000 Vectors per Second (20 pixel)
* Programmable Display Formats up to 1280 X 1024
* 2048 X 2048 X 8 Image Memory
* Interlaced or Non-interlaced (Flicker-free) operation
* Flexible, High Performance Architecture
* User Microprogrammable
* Support for GKS, X Window System and NeWS
* Single Pixel Pan/Smooth Scrolling
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
VIRTUAL NC
DRO480400000 DAR
Deneb Robotics, Inc.
3295 Lapeer Rd. W.
PO Box 214687
Auburn Hills, MI 48321-4687
Rakesh Mahajan (313) 377-6900
Industry:
Graphics\Animation
Mfg/Support\Factory/Plant Automation
Mfg/Discrete\All Discrete Manufacturers
Product Description:
Virtual NC is an open architecture 3D graphics machining process
simulator that precisely emulates an entire machine tool and NC
controller. Virtual NC eliminates uncertainty by duplicating the true
functionality and characteristics of the machine tool including
fixtures, tools, tool changes, attachments, workpieces, and most
important, the NC controller. Processes available are milling, turning,
punching, and grinding, with no restrictions on the number of axes a
machine can have. Virtual NC can model entire machine setup, machine
design, and machining center layout; optimize existing programs, saving
process time and tooling costs; and Virtual NC is ideal for precious
materials, advanced machine tools, and complex machine processes.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
VITec-30 Image Computer
VIT750660000 Complimentary Vendor
Visual Information Technologies (VITec)
3460 Lotus
Plano, TX 75075
Winston Guillory (214) 985-2285
Industry:
Graphics\Image Processing
Aerospace\Military Applications
Publishing\Display Management
Product Description:
The VITec-30 Image Computer is an entry-level image processing
system, supporting the display and manipulation of high-resolution,
photographic-quality images. The system performs interactive operations
on all data types, including 24-bit true color or grayscale images,
photographs, artwork, documents, radar, and satellite imagery. The
VITec-30 Image Computer expands the functionality of the HP 9000/400
workstation for imaging and graphics operations, providing an affordable
solution for a wide range of applications in the Publishing, Mapping,
Geosciences, GIS, CAD/CAM, and Non-destructive Testing markets.
The VITec-30 Image Computer features a single-board accelerator and
the PICES imaging software. The system delivers 175 Million Operations
Per Second, up to 16 MBytes of memory, full custom silicon, and 10
MFLOPS of floating point processing. As an open architecture system and
with support for industry accepted standards, such as UNIX, C, X-Window
System and Motif, the VITec-30 Image Computer promotes compatibility
with a wide range of 3rd party software and peripheral products.
The VITec-30 Image Computer is also completely programmable.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
VITec-50 Image Computer
VIT750660000 Complimentary Vendor
Visual Information Technologies (VITec)
3460 Lotus
Plano, TX 75075
Winston Guillory (214) 985-2285
Industry:
Graphics\Image Processing
Aerospace\Military Applications
Earth Resources Engineering\Geologic Data Analysis
Product Description:
The VITec-50 Image Computer provides a powerful, versatile platform
for the display and processing of 24-bit true-color or grayscale images,
including photographs, documents, sonar and radar, satellite imagery, or
MRI scans. The system (9U form factor) features a high-end imaging
accelerator and the PICES imaging software. The VITec-50 Image Computer
transforms the HP 9000/400 workstation into an advanced Imaging
Workstation, supporting complex applications in the Intelligence,
Government, GIS, Earth Sciences, Visualization, Computer-Aided
Publishing, and Medical markets.
The system features 300 Million Operations Per Second; Full-custom
VLSI chip set; 18 MFLOPS floating point processing; Expandable memory;
Virtual image computing (very large or very high-resolution images);
high-speed VMEbus Interface. It also offers PICES, an imaging
application programming interface that accelerates the process of
creating image-based applications.
With support for industry accepted standards, such as UNIX, C,
XWindow System and Motif, the system provides compatibility with a wide
range of peripherals and software. Optional software packages support
networking and algorithm development.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Graphics
VITec-60 Series of Image Computers
VIT750660000 Complimentary Vendor
Visual Information Technologies (VITec)
3460 Lotus
Plano, TX 75075
Winston Guillory (214) 985-2285
Industry:
Graphics\Image Processing
Aerospace\Military Applications
Earth Resources Engineering\Geologic Data Analysis
Product Description:
The VITec-60 Series of Image Computers are board-level products (6U
form factor) that transform host computers into imaging and graphics
stations that are capable of displaying, enhancing and manipulating
high-resolution, image-based information-such as true-color photographs,
sensor data, or staellite imagery. The systems feature a high-end
imaging accelerator and the PICES imaging software, and can be
integrated into workstations with a ruggedized chassis to support
government and military field-deployable tactical systems.
The VITec-60/TC Image Computer is a three-board true-color
subsystem. The VITec-60/GS Image Computer is a lower-cost two-board
grayscale subsystem that offers equivalent functionality and performance
for processing single channels of data with graphics and test, and is
upgradeable to a true-color speed to perform interactive and near-real
time imaging operations on complete datasets. Features include: 300
MOPS; full custom VLSI chip set; display and processing of 8-bit/color
channel with up to 32-bits per pixel; Floating Point Unit (18MFLOPS);
support for stero imaging operations; expandable image memory; and
Virtual Image Management capability.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
VOXBLAST
VAY525660000 ISV
Vaytek, Inc.
305 West Lowe #109
PO Box 732
Fairfield, IA 52556
FAX (515) 472-8131
Industry:
Graphics\Image Processing
Product Description:
VOXBLAST is a general purpose 3D volume rendering program suitable
for medical, microscope and seismic data sets. VOXBLAST works with
multiple 2D images to create 3D projections from any point of view.
VOXBLAST important features include:
* 2D and 3D measurements
* Arbitrary cufting plane in 2D slices
* Written in DSF/Motif and X11
* Command language and macro scripting
* Pseudo color in 24 bit RGB
* Lighting models
* Real number interslice interpolations
* Movie generation
* Transparency effects
* Runs across a network
VOXBLAST was written by the medical school at the University of
Iowa. It has a simple easy-to-use interface. VOXBLAST is fully
supported.
Configuration Data:
not available
Pricing Information:
$6000 single copy - $7000 network copy
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
WiziDraw
MEN601660000 ISV
Microsystems Engineering Corporation
2500 W. Higgins Rd. #950
Hoffman Estates, IL 60195
Fax (708) 882-8643
Industry:
Graphics\Graphical Output (general)
Office Automation\Presentation Graphics
Product Description:
WiziDraw is a mouse-driven object-oriented illustrator for 10
platforms that allows users of all skill levels to easily create
presentations, organizational charts, Gantt charts, flow diagrams, floor
plans, logos and technical illustrations. Product Highlights:
* An intuitive user interface with icons that can be selected with
the mouse.
* Extensive editing features such as scale, snap-to-grid, align,
copy, delete, zoom and pan.
* Fast and powerful curve creation and editing.
* Stored symbols to speed the drawing process.
* Extensive measuring features for precise and accurate
positioning.
* Compatibility with other graphic file formats.
* The ability to flip, combine and separate objects.
*Many free-form graphics capabilities including linear elements,
curve elements, polygonal elements and text elements.
* Color Support
Configuration Data:
not available
Pricing Information:
pc $395.00, Workstations $495.00
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Graphics
XRT/graph(tm)
BCO080660000 Complimentary Vendor
Bluestone Consulting, Inc.
1200 Church St.
Mt. Laurel, NJ 08054
Fax (609) 778-8125
Industry:
Graphics\Graphics Library
Application Dev./Software Eng.\Programming Tools
Utilities/User Interfaces\Windowing Systems
Product Description:
XRT/graph(tm) is a dynamic real-time graph/chart widget integrated
with Motif, OpenLook, or SUNVIEW which extends your toolkit with special
widgets and displays rapid changing data in: X-Y plots, Logarithmic
Graphs, Bar Charts (2D and 3D), Stacked Bar Charts (2D and 3D), Pie
Charts (2D and 3D), and Combination Graphs. XRT/graph(tm) will help you
build an application and graphical user interface that communicates more
meaningful professional appearing applications quickly with no runtimes
or royalties.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
9000/1200 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Graphics
Xcalibur(TM)
BDS221660000 ISV
BDS Systems, Inc.
103 Carpenter Dr.
Sterling, VA 22170
Lorianne Fisher (703) 742-0800
Industry:
Graphics\Image Processing
Aerospace\Military Applications
Civil Engineering\Mapping
Product Description:
Xcalibur(TM) is a portable, standards based, advanced image
processing application package which provides a flexible conceptual
model for processing images of wide dynamic range and complex pixel
types. Based on a dynamic protocol language called PixScript (TM), it
employes hypermedia concepts, such as viewers, cards and stacks, to
organize and present images and associated information. Xcalibur is
designed to remove constraints on image format, size, complexity, or
sensor type while giving the user different needs. Xcalibur, as a rapid
prototyping tool and development environment, assists the programmer in
quickly writing imaging algorithms and in integrating them into the user
interface. Xcalibur provides a wide variety of image processing
capabilities and image libraries in the baseline system; more
specialized algorithms are available through optional add-on packages.
Xcalibur was designed to be portable across networks and platforms
and to be scalable to evolving system capabilities and technology. This
is achieved by adherence to standards such as OSF MOTIF (TM) and the
X-Window System (TM) as well as by utilizing separate object-oriented
engines for image management, user interface, etc.
Xcalibur is targeted at image processing applications which require
interactive processing of potentially large data sets using highly
sophisticated computer-intensive techniques. These include remote
sensing, military and intelligence operations, medical applications,
science research applications, bio-security identification, industrial
inspection, electronic/digital pre-press, and astro-physics imaging.
BDS Systems also markets the PRECISE 3000 SCANNER for digital input and
the Kodak XL7700 Printer for hard-copy output.
Configuration Data:
not available
Pricing Information:
$5,995.00 is the suggested retail price.
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Graphics
XpertScan
DEV940660000 ISV
DevSoft Corporation
1010 W. Fremont Ave.
Sunnyvale, CA 94087
Fax (408) 736-7696
Industry:
Graphics\Image Processing
Publishing\Display Management
Office Automation\Integrated Graphics Software
Product Description:
The XpertScan image scanning system is ideal for digitizing
grayscale documents, drawings, maps, photographs and other images at
resolutions ranging from 60 to 600 dpi and sizes of up to 11" x 17".
The system includes a high speed desktop flatbed SCSI scanner from
Fujitsu (M3096G/H) or Ricoh (IS 50/60/410), an OSF/Motif based user
interface, an Application Programming Interface, a Command Line
Interface, a batch-scanning facility with Automatic Document Feeding
(ADF) capability, an Optical Character Recognition (OCR0 toolkit and
clear documentation. XpertScan can also easily be integrated into other
applications in document imaging, desktop publishing and image
processing.
The feature set provided by XpertScan includes control over several
scanner parameters, simultaneous display and manipulation of multiple
images in multiple windows, sophisticated image processing functions,
and several save file formats including TIFF, PCX, XWD, PCL and
Postscript. These file formats are compatible with word processing and
desktop publishing software packages such as FrameMaker, Interleaf,
WordPerfect, Asterix and Island Paint. Hardcopy output of images to
PostScript and PCL printers is also supported.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
CA-20/20
ISS921500100 Premier ISV
Computer Associates International, Inc.
One Computer Associates Plaza
Islandia, NY 11788-7000
Carla Fitzerald (408) 922-2662
Industry:
Math/Statistics\Data Analysis
Math/Statistics\Statistical Analysis
Product Description:
CA-20/20, the dominant spreadsheet in multi-user environments
integrates graphics , database management and advanced consolidation
facilities. CA-20/20, engineered to support both HP VUE and OSF Motif
GUI's supports multiple spreadsheet windows, mouse, pop-up menus and
softkeys.
CA-20/20 can be integrated with SQL database and other applications
to provide better access to data. Spreadsheet modeling can be
standardized throughout the enterprise because it runs on PC's, minis,
mainframes and workstations. CA-20/20 is a powerful decision support
tool which is, simple to use, easy to learn. CA-20/20's advanced
features include:
1,000x8192 metrix, 90+functions - goal seeking to back solve a
model - integrated control of printer formatting - templates and linked
models - English word commands - full macro facility - seven popular
graph types - sort, select and extract - flexible inport/export
facilities.
CA-20/20 reads writes and consolidates Lotus 1-2-3 worksheets
directly from the spreadsheet. System command allows users to exit to
the UNIX shell to perform other operations.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
CPLEX Linear Optimizer/Callable Library
COP894660000 ISV
CPLEX Optimization, Inc.
930 Tahoe Blvd. Bldg. 802 - #279
Incline Village, NV 89451-9436
FAX (702) 831-7755
Industry:
Math/Statistics\Linear Programming
Mfg/Process\Scheduling
Mfg / Ind Specific Solutions\Oil/Gas Manufacturing
Product Description:
CPLEX offers next-generation optimization tools for linear program,
mixed integer, and network problems. CPLEX readily solves large
(millions of variables) or difficult problems where other optimizers
fail. CPLEX is used world-wide in a variety of industrial and military
applications including refinery and manufacturing scheduling,
transporation logistics, cash and securitics portolio management, and
network analysis.
CPLEX Linear Optimizer - A robust linear program (LP) optimizer
with superior speed and robustness. Features include interactive or MPS
file input/output, interactive revision, efficient restart from an
advanced basis, sensitivity analysis options and a simple command
structure with an on-line help system.
CPLEX Mixed Integer Optimizer - An extension of the CPLEX Linear
Optimizer accepting general and binary mixed-integer variables -
includes all the features and capabilities of the Linear Optimizer.
CPLEX Callable Library - A library of LP optimization routines
allowing users to "embed" the powerful CPLEX engine within their own
applications. Enables efficient and seamless integration into
user-written programs developed in C, Fortran, or other languages.
CPLEX Mixed Integer Library - An extension of the Callable Library
accepting general binary mixed-integer variables-includes all the
capabilities and routines of the CPLEX Callable Library.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
Convex Meta-Series
CON750660000 VAR
Convex Computer Corporation
3000 Waterview Parkway
Richardson, TX 75080
FAX (214) 497-4848
Industry:
Math/Statistics\Math Routines/Libraries
System Management\System Utilities
Utilities/User Interfaces\System Resource Management
Product Description:
The Convex Meta Series is a single system combining Convex's
C-series technology and Hewlett-Packard's PA-RISC technology into a
single high-performance compute engine. The C-series component provices
management of and high speed access to up to terabytes of data, as well
as high performance for highly vectorized applications. The PA-RISC
components deliver high performance for scalar applications, and,
together with Convex's software and hardware interconnects, and engine
for developing coarse-grain parallel applications.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
ConvexMLIB
CON750660000 VAR
Convex Computer Corporation
3000 Waterview Parkway
Richardson, TX 75080
FAX (214) 497-4848
Industry:
Math/Statistics\Math Routines/Libraries
System Management\System Utilities
Utilities/User Interfaces\System Resource Management
Product Description:
ConvexMLIB is a library of mathematical software routines
specifically designed for extremely high performance on PA-RISC
workstations. Mathematical algorithms have been chosen explicitly to
take advantage of the PA-RISC CPU and cache architecture, and have then
been implemented in PA-RISC assembly language. Standard packages such
as LYNPACK, PAPACK, BLAS, as well as routines for fast fourier
transforms (FFT's), convolutions, and other similar functions are
provided.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
ConvexNOS+
CON750660000 VAR
Convex Computer Corporation
3000 Waterview Parkway
Richardson, TX 75080
FAX (214) 497-4848
Industry:
Math/Statistics\Math Routines/Libraries
Product Description:
VonvexNQS+ is a batch queueing system based on the de facto
standard NQS. ConvexNQS+ supports these and other features of standard
NQS:
* job routing
* job accounting
* access control
* queue limits
ConvexNQS+ has been specifically enhanced for use in a workstation
cluster environment, and provides capabilities not available in other
NQS+ implementations such as:
* direct remote job submission
* load balancing
* automatic file importing
* demand or "pull" queues (more efficient than "push" queues)
Configuration Data:
not available
Pricing Information:
available upon request
System Information:
not available
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
ConvexPVM
CON750660000 VAR
Convex Computer Corporation
3000 Waterview Parkway
Richardson, TX 75080
FAX (214) 497-4848
Industry:
Math/Statistics\Math Routines/Libraries
System Management\System Utilities
Utilities/User Interfaces\System Library Management
Product Description:
ConvexPVM is a parallel programming environment for workstation
clusters, providing an execution environment, source level degugging,
and execution profiling capabilities.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
E-Z FFT
GSC323500100 Complimentary Vendor
Galileo Scientific
1803 Sage Way Dr.
Tallahassee, FL 32303
Fulvia Pieraccini (904) 562-9789
Industry:
Math/Statistics\Data Analysis
Product Description:
E-Z FFT is a Fast Fourier Transform with the graphical power and
ease of operation derived from E-Z GRAPH III (a graphing routine with
SCROLL/ZOOM, by Galileo Scientific, which is REQUIRED to run). It is an
excellent analytical and tutorial tool, which will make the learning as
easy as the operation for anyone who has never used FFT's before.
This menu-driven stand-alone program, with on-line HELP, will
allow: Time to Frequency and Frequency to Time transformations giving
Real, Imaginary, Magnitude and Power Spectra of the Frequency Domain
data in graphic and tabular form; various filtering operations; removal
fo a DC component; windowing; Network Analysis, calculating the response
of any circuit to any waveform (with BASIC 5.X).
Instant graphical presentation of the data before and after each
option clearly shows how data are modified by each operation, while
SCROLL/ZOOM permits easy, fast, high, accuracy video analysis. Output
is automatically documented with source information, options used, file
name and date, to provide a record of what was done with each graphical
presentation and/or file stored.
Configuration Data:
not available
Pricing Information:
E-Z FFT $125; E-Z GRAPH III $195
multi-copy discount; money-back guarantee
System Information:
HP VECTRA MS-DOS
HP9000/300 BASIC
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
E-Z Spline
GSC323500100 Complimentary Vendor
Galileo Scientific
1803 Sage Way Dr.
Tallahassee, FL 32303
Fulvia Pieraccini (904) 562-9789
Industry:
Math/Statistics\Data Analysis
Mfg/Process\Financial Accounting Systems
Product Description:
E-Z SPLINE is a SUB-program (to be used in conjunction with E-Z
GRAPH III, a graphing routine with SCROLL/ZOOM by Galileo Scientific)
which will, with a single call, take a user supplied sparse, unordered
set of data, and generate an ordered, evenly X spaced, pair of arrays
(the cubic spline through the original input set) and pass them back to
the calling program. For any X value, the splined Y value can be easily
obtained both numerically (to be passed back to the calling program) and
graphically (for fast, high accuracy video analysis, via SCROLL/ZOOM).
A fully annotaded DEMO program is included, to familiarize the user
with the use of E-Z SPLINE.
Configuration Data:
not available
Pricing Information:
E-Z SPLINE - $70.; E-Z GRAPH III - $195.
multi-copy discount; money-back guarantee.
System Information:
HP VECTRA MS-DOS
HP9000/300 BASIC
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
Ein*Sight 3.0
OBASBZW00000 ISV
InfoMetrix, Inc.
The Denny Bldg. #833
2200 - 6th Ave.
Seattle, WA 98121
Fax (206) 441-4696
Industry:
Math/Statistics\Statistical Analysis
Chemical/Pharmaceutical\Process Monitoring
Math/Statistics\Data Analysis
Product Description:
Ein*Sight is a statistics package featuring pattern recognition and
exploratory data analysis techniques paresented in a graphical
interface. Look for relationships in your samples by exercising raw and
processed data in a unique four part windowing system with a variety of
state of the art tools. Tabular, rotating 3-D,. 2-D, multiplot,
dendrogram and line plot capabilities are used to explore processed data
from a single step principal component analysis and any one of seven
cluster routines. Simplified methods for outlier detection and
elimination such as point brushing and colorized class variable
assignment, speed the analysis through a recalculation without having to
delete tabular information or tangle with extra file manipulations.
System recommendations: 296 or better with FPU PC/Vectra, 640k
RAM, EGA or VGA color monitor, 5 megabytes of free hard disk space and a
Microsoft compatible mouse.
Compatible file formats include: Lotus 1,2,3 ASCII and, with an
associated file conversion utility, MasterKey, a host of analytical
instrument types. Publication quality monochrome and color graphics
output is available to over 300 different printers and including TIFF,
object and printer file formats for import into other desk top
publication and graphic programs.
Configuration Data:
not available
Pricing Information:
$500 Quantity purchase discounts available upon request
System Information:
HP VECTRA MS-DOS
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
FIT
GSC323500100 Complimentary Vendor
Galileo Scientific
1803 Sage Way Dr.
Tallahassee, FL 32303
Fulvia Pieraccini (904) 562-9789
Industry:
Math/Statistics\Math Routines/Libraries
Product Description:
FIT is a SUBprogram (sold in conjunction with E-Z GRAPH III) which
provides linear and general nonlinear regression analyses of user data
using least square fitting. With just a few keystrokes, FIT provides
complete tabular output of all input data and regression results, plus
an instant graphical display of the regression curve overlaid with the
input points for an easy estimate of the quality of the fit.
It offers the option of 7 standard linear regression equations for
fitting, plus a general linear option where the user chooses the formula
to be fitted (no derivatives necessary) and makes some initial estimates
of the adjustable parameters.
Features include: any number of independent variables and
ajustable parameters; standard errors or confidence limits for all
adjustable parameters; complete tabular output of data; precision of
convergence for the nonlinear regression and output format control;
interpolation routine.
Optional DRIVER program permits stand-alone operation for keyboard
or file input of data.
Configuration Data:
not available
Pricing Information:
FIT - $70; E-Z GRAPH III - $195; E-Z DRIVER - $25 Multi-copy
discount. Money back quarantee.
System Information:
HP VECTRA MS-DOS
HP9000/300 BASIC
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
GLOBAL
FEN947660000 ISV
Financial Engineering Associates, Inc.
2511 Channing Wy. #200
Berkeley, CA 94704-2314
Tracie Rowson (510) 548-6200
Industry:
Math/Statistics\Math Routines/Libraries
Product Description:
GLOBAL is a set of spreadsheet add-in functions which compute
theoretical values and associated risk measures with respect to options,
futures, and other derivative products on global commodities
(currencies, energy, metals, agricultural commodities, broad-based stock
indices and the like). Although not specifically designed for such,
users report good success using GLOBAL for approximations on interest
rate and dividend paying equity options. GLOBAL is available for use
with Lotus 1-2-3 and Wingz spreadsheets for HP 9000/700 and 9000/800
platforms and for MS/DOS, Lotus for Windows and Excel for the HP Vectra
PC.
GLOBAL first handles European and American style options with
implied volatilities, flows, forwards and futures. GLOBAL second has
functions for average-price, knockout and lookback options. GLOBAL
third has functions for average-strike, compound and dual-asset options
including spread and quantos options. GLOBAL fourth focuses on digital
and binary options. GLOBAL comes with an installation guide, user
manual, release media and quick-reference sheet. Output includes
theoretical value, delta, gamma, theta, vega, rho, lambda, and charm.
Configuration Data:
not available
Pricing Information:
$1000 - $6000 one-time license fee per module
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
IMSL Libraries (MATH/STAT/SFUN LIBRARY)
IMS770500100 Premier ISV
Visual Numerics Inc. (VNI)
9990 Richmond, #400
Houston, TX 77042
FAX (713) 781-9260
Industry:
Math/Statistics\Math Routines/Libraries
Math/Statistics\Statistical Analysis
Math/Statistics\Data Analysis
Product Description:
With nearly 800 FORTRAN subprograms, the IMSL Libraries embody a
comprehensive selection of mathematical and statistical subprograms.
The IMSL Libraries save time and money in application program
development by providing complete, tested, FORTRAN subprograms. The
Libraries' dependable numerical techniques also enhance accuracy and
robustness.
MATH/LIBRARY contains nearly 500 subprograms for applications in
engineering and the sciences, and include capabilities for: linear
systems, eigensystem analysis, interpolation and approximation,
integration and differentiation, differential equations, transforms,
nonlinear equations, optimization, basic matrix/vector operations, and
utilities.
SFUN/LIBRARY has over 170 callable subprograms for evaluating
special functions including fundamental functions, trigonometric and
hyperbolic functions, exponential integrals and related functions, gamma
and related functions, error and related functions, Bessel functions,
Kelvin functions, Bessel functions of fractional order, elliptic
integrals, Weierstrass elliptic functions, probability distribution
functions, and inverses.
STAT/LIBRARY is a comprehensive statistical resource that offers
over 400 subprograms for such areas as: regression, correlation,
analysis of variance, categorical and discrete data analysis,
nonparmetric statistics, test of goodness of fit and randomness, time
series analysis and forecasting, covariance structures and factor
analysis, discriminant analysis, cluster analysis, survival analysis,
life testing and reliability, multidimensional scaling, density and
hazard estimation, line printer graphics, probability distribution
functions and inverses, random number generation, utilities, and
mathematical support.
Configuration Data:
not available
Pricing Information:
Available upon request. Paid-up and annual licenses are available.
Educational discounts where applicable.
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
IMSL Libraries Interactive Docu Facility
IMS770500100 Premier ISV
Visual Numerics Inc. (VNI)
9990 Richmond, #400
Houston, TX 77042
FAX (713) 781-9260
Industry:
Math/Statistics\Math Routines/Libraries
Math/Statistics\Statistical Analysis
Math/Statistics\Data Analysis
Product Description:
IMSL's interactive documentation facility provides online access to
IMSL's documentation for MATH/LIBRARY, SFUN/LIBRARY, and STAT/LIBRARY.
Through either a menu or command interface, the documentation facility
enables quick and accurate selection of the appropriate subroutine from
the nearly 800 available in the IMSL libraries. The menu interface
offers selection criteria, which enable you to easily narrow the scope
of your request and retrieve the most appropriate subroutine. Bypassing
the menu prompts, the command interface feature of the documentation
facility allows you to directly input your selection criteria.
Installing IMSL's interactive documentation facility makes it possible
for IMSL libraries documentation to be available to all users
economically and efficiently.
Any part of the online documentation can be directed to an output
file. For example, capturing the sample program provided with each
subroutine in an output file would allow you to execute the example
without rekeying. Directing the body of the documentation to an output
file or to a printer can be useful in documenting programs that use the
IMSL libraries.
Configuration Data:
not available
Pricing Information:
Available upon request. Site licenses and 40% educational discount
are available.
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
InStep 1.0
OBASBZW00000 ISV
InfoMetrix, Inc.
The Denny Bldg. #833
2200 - 6th Ave.
Seattle, WA 98121
Fax (206) 441-4696
Industry:
Math/Statistics\Data Analysis
Chemical/Pharmaceutical\Process Monitoring
Mfg/Process\Process Control Monitoring
Product Description:
InStep is designed for routine laboratory applications and on-line
analysis dealing with quality control and process monitoring issues.
Categorical and property predictions are made using K Nearest Neighbor,
SIMCA, Principal Component Regression and Partial least Squares
Regression based on a Pirouette model. Three features make InStep
unique:
First - is the ability to quickly and easaily create an expert
system around a set of multivariate models. This is accomplished with a
macro programming language that is simple to use but will allow you to
build even complex, sequential models if the application warrants.
Second - written for Microsoft Windows allows the use of the program
simultaneously with instrument data acquisition software. InStep
supports the standard Microsoft Dynamic Data Exhange, allowing it to run
in real time.
Third - InStep will allow the generation of custom reports either
as tabular data or control charts. Charts can be displayed on-screen or
ON THIS PAGE **** NO PREVIOUS ERRORS
printed. Results can also be written to a file or handed off to control
software for important decision making procedures.
System recommendations: 386 with FPU ro 486 PC/Vectra, 4 megabytes
of RAM recommended, 5 megabytes of free hard disk space. Microsoft
Windows 3.1.
Configuration Data:
not available
Pricing Information:
$800 Quantity purchase discounts available upon request
System Information:
HP VECTRA MS-DOS
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
KORBX - Advanced Mathematical Prog. Sys.
ATX079660000 VAR
AT&T
1 Oak Way
Berkeley Heights, NJ 07922
FAX (908) 771-4313
Industry:
Math/Statistics\Linear Programming
Math/Statistics\Math Routines/Libraries
Product Description:
The KORBX software is a premier high performance mathematical
programming system designed to help decision makers solve complex
opertional and resource allocation problems. AT&T's powerful, cost
effective, commercially proven and easy-to-use interior point technology
can dramatically improve the efficiency and expand the capabilities of
current decision support systems.
Written entirely in C and Fortran 77, the KORBX System delivers
superior performance accoss a broad range of problem sizes. Software
features include:
* Cholesky Solvers: Primal Dual and Dual Power Series utilizing
direct factorization techniques for high performance and robustness
* Dual Conjugate Gradient Solver: a unique implementation designed
for ultra high performance on large problems
* Separable Quadratic Solver
* Preprocressor, Linear Equation Solve, and Report Writer
User flexibility and ease-of-use are enhanced with the
implementation of a subroutine callable library and the availability of
source code. The KORBX System's modular archiecture provides
flexibility to match varied needs and includes a series of trial
packages for customers requiring an in-house technical evaluation.
Support is provided by a dedicated team of operations research
specialists and computer scientists including installation, training,
documentation, and comprehensive maintenance plans.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
MATRIX Binary MB203, MB204, MB305
INF928400100 Complimentary Vendor
Infotek Systems
1120 Spring St.
Klamath Falls, OR 97601-4201
FAX (503) 883-8042
Industry:
Math/Statistics\Math Routines/Libraries
Languages/Operating Systems\BASIC Language
Product Description:
Infotek's MB binaries for HP BASIC increase the execution speed of
all BASIC MAT statements. Operations such as MAT Sort execute up to 3
times faster than with the HP MAT Binary.
Configuration Data:
not available
Pricing Information:
$250.00
System Information:
HP9000/300 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
MATRIXx
INT950660000 ISV
Integrated Systems Incorporated
3260 Jay St.
Santa Clara, CA 95054-3309
Dennis O'Donnell (408) 980-1500
Industry:
Math/Statistics\Linear Programming
Computer-Aided Test/Lab Auto.\Control System Analysis
Mechanical Engineering\Dynamic Analysis
Product Description:
The MATRIXx family includes all linear system analysis functions,
linear algebra, interactive classical and modern control design,
conversion between model forms, and computation of time and frequency
responses. Complete engineering graphics capabilities are provided for
Visually interpreting large amounts of data as well as the output of
linear simulations. The graphics functions support plotters and laser
printers for report-quality hardcopy.
Extensive programming capabilities are provided in the MATRIXx
command language. Macros, user-defined functions and user-defined
commands are easily created, used in a session and saved for later use.
For most scientific and engineering applications, the MATRIXx command
language is a high-level replacement for FORTRAN programming.
The basic MATRIXx software supports the additional ISI products:
System indentification, Digital Signal Processing, and Robust Control
Modules, SystemBuild and AutoCode.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
ML/e
CRE037660000 Complimentary Vendor
Creare Inc.
P.O. Box 71, Etna Rd.
Hanover, NH 03755
Fax (603) 643-4657
Industry:
Math/Statistics\General Engineering Math
Math/Statistics\Data Analysis
Product Description:
ML/e is a powerful and efficient computation, graphics, modelling,
and numerical analysis system of great interest to scientists and
engineers.
* ML/e is an intuitive "ready to use" computation system for the
user who wants quick results for a few minutes of effort.
* ML/e is a programmable, extendable software tool for the user who
wants to build sophisticated computation "laboratories" that are
self-contained or that incorporate diverse bodies of pre-existing
software.
ML/e is compatible with the Creare IDARS and Scanalyzer software
systems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
Mathcad
MAT021660000 Complimentary Vendor
MathSoft, Inc.
201 Broadway
Cambridge, MA 02139
FAX (617) 577-8829
Industry:
Math/Statistics\General Engineering Math
Math/Statistics\Math Routines/Libraries
Math/Statistics\Data Analysis
Product Description:
Mathcad is the award-winning technical calculation software that
allows users to easily perform complex mathematical calculations and
then to document and graph the results. It offers engineers, scientists
and others an interactive, intuitive and easy-to-modify alternative to
spreadsheets, calculators and programming.
Mathcad is an electronic whiteboard that allows you to use standard
math notation while performing serious numeric and symbolic math
calculations. "What if" analyses are effortless using Mathcad's live
document interface (TM). Change a variable or value of a constant and
the dependent equations and graphs in your document are update
automatically.
MathSoft Electronic Book provide invaluable add-on capabilities
that can be integrated directly into Mathcad documents. Standard
reference information, equations and specialized functions can all be
integrated with a click of a mouse, instantly improving productivity.
Mathcad's built-in floating license manager lets you easily install
Mathcad directly onto your network. You decide how many simultaneous
users you want to have access to Mathcad.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
Mathematica
WRE618400000 ISV
Wolfram Research, Inc
100 Trade Center Dr.
Champaign, IL 61826
Zoe Penny (217) 398-0700
Industry:
Math/Statistics
Mechanical Engineering\Mechanical Design
Education/Colleges\Statistics
Product Description:
Mathematica is a complete system for mathematical computation that
can be used by researchers, students, engineers, analysts and others
both as an interactive calculational tool, and as a programming
language. Mathematica does numerical, graphical and symbolic
calculations. Its numerical capabilities include arbitrary precision
arithmetic, as well as special function evaluation and matrix
manipulation. Mathematica can also do symbolic computation: it can
manipulate formulas directly in algebraic form, performing such
operations as symbolic equation solving, integration, differentiation,
and power series expansion. Mathematica contains a core of mathematical
knowledge, which can be extended by creating programs; in the
Mathematica language. The language incorporates several hundred
primitives for numerical, symbolic and graphical programming.
Mathematica's extensive graphics capabilities allow it to generate
two-dimensional plots, and shaded color three- dimensional pictures.
Mathematica generates all graphics in POSTSCRIPT. New in Mathematica
2.0, are 283 functions including differential equations, sound
generation, compilation, symbolic execution tracing, and enhanced
graphics. Mathematica can produce input for C, Fortran, TeX and a
number of other standard systems. Built into Mathematica are mechanisms
for interfacing to external processes running under the UNIX operating
system. Requirements for MS-DOS systems: 640K conventional memory and
IBM extended memory required. supports CGA, EGA, VGA, Hercules, and
8514 graphics standards; PostScript, LaserJet, Epson FX, and Toshiba P3
printers, and Encapsulated PostScript form. Requirements for UNIX
systems: Supports X Windows. For Series 300, requires HP ID Module.
Distributed on HP 16 TRACK 1/4" tape. Mathematica is a registered
trademark of Wolfram Research, Inc.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
Metrica
LTE018660001 Complimentary Vendor
Leading Technology Inc.
711 Old Ballas Rd. #110
St. Louis, MO 63141
FAX (314) 432-8393
Industry:
Math/Statistics\Data Analysis
Mfg / Ind Specific Solutions\Semiconductor Manufacturing
Telecommunications\Telecommunications System Mgmt
Product Description:
Metrica is a data management, analysis and visualization package
for engineers and scientists building integrated systems in test and
measurement environments. Metrica's high-speed RDBMS stores large
volumes of measurement data from a variety of sources including
Hewlett-Packard instrumentation, and serves it to integrated graphics
and data analysis tools. Metrica provides straightforward, interactive
tools to extract information from large data sets to help users make
business and engineering decisions.
Metrica offers:
* accessible database information (database size, type and value of
data, etc.)
* analytic functions (including statistical and signal analyses)
* a user-definable function utility
* customizable tables, charts, reports, and graphs
* a 4-GL tool for building custom data analysis applications
A point-and-click graphical user interface makes Metrica easy to
learn and use. On-line help is available throughout the system.
Programmatic interfaces available for C, Pascal, Fortran, and HP-Basic.
Metrica is used in semiconductor testing, telecommunications network
performance analysis, aerospace flight testing, automobile testing and
other applications.
Configuration Data:
not available
Pricing Information:
$6,125.00 per seat for a 4-user system
OEM and VAR terms available - Run.Time pricing available
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
ModelSet
FEN947660000 ISV
Financial Engineering Associates, Inc.
2511 Channing Wy. #200
Berkeley, CA 94704-2314
Tracie Rowson (510) 548-6200
Industry:
Math/Statistics\Math Routines/Libraries
Product Description:
ModelSet is a library of scientific subroutines that compute the
theorectial values and associated risk measures with respect to options,
futures, and other derivative products on global commodities
(currencies, energy, metals, agricultural commodities, broad-based stock
indices etc.) options. Although not specifically designed for such,
users report good success using ModelSet as approximations for interest
rate and dividend paying equity options etc.
A full range of second generation (exotic) options are offered
including average-price knockout, lookback, compound, spread, kickin,
COD and average-strike options as well as first generation options and
hedge instruments. All the models are fully integrated and compatible
with one another. The modules are designed for use in a high-speed,
production-oriented trading environment, in which substantial
programming support is available for building applications.
Alternatively, applications compatible with ModelSet are available from
various systems integrators and turnkey system providers (information
upon request). HP Unix platforms supported include 9000/700 and
9000/800. The HP Vectra PC running MS-DOS is also supported.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
Novell
MIN440660000 DAR
McHale US Connect
31200 Bainbridge Rd.
Solon, OH 44139
Fax (216) 487-0566
Industry:
Math/Statistics
Mfg / Ind Specific Solutions
Transportation
Product Description:
Established in 1983, McHale US Connect Systems provides high end
systems integration and network management solutions. McHale US Connect
Systems has the expertise to design, implement and support enterprise
wide networking solutions for multi-site companies. Our experience has
merited a place on LAN magazines top 100 Lan systems integrators.
McHale US Connect Systems provides services and products in in the
following areas:
* Client/server network design
* Right sizing consulting
* Lan/Wan design
* Object oriented programming
* Application-database development
* Project management services
* Application design
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
Numerical Analysis Library
PAR805400000 ISV
Parametrics, Inc./ESC
PO Box 8035
Loveland, CO 80537
Bill Arrington (303) 667-4030
Industry:
Math/Statistics\Math Routines/Libraries
Product Description:
Transform Problems Into Answers Literally in Minutes. Save time,
money, and frustration by taking algorithms from some of the most useful
time tested set of Numerical Analysis algorithms that exist. The
Numerical Analysis pack provides 57 commonly used numerical analysis
routines which can be quickly called up as you need them.
Each routine can be thought of as a black box having one or more
input and output parameters. You needn't be concerned with what is
happening inside the box - only how to call it from your applications
program or with the provided stand-alone drivers.
The main catagories of routines are as follows:
* Root Finder - Ordinary Differential Equations
* Interpolation - Linear Algebraic Systems
* Integration - Fourier Analysis - Eigen Analysis
* Hypergeometric, gamma, and complex number manipulations and
polynomial evalutions.
The Numerical Analysis Library comes on 2 floppies with a 200 page
comprehensive manual including step by step user instruction on how to
use the modules stand alone or integrated in your own software package,
full explanations of each variable, examples, methods and formulae,
theory, references, special considerations and programming hints.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 BASIC
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
Pirouette vl.l
OBASBZW00000 ISV
InfoMetrix, Inc.
The Denny Bldg. #833
2200 - 6th Ave.
Seattle, WA 98121
Fax (206) 441-4696
Industry:
Math/Statistics\Statistical Analysis
Chemical/Pharmaceutical\Process Monitoring
Math/Statistics\Data Analysis
Product Description:
Pirouette is state of the art interactive software for performing
and viewing multivariate statistical analysis. Some typical
applications include, speciation of bacteria with chromatographic
methods, prediction of octane number by using Near IR spectroscopy,
determining alteration of food products, clasification and prediction of
product quality, oil field exploration, human and ecological forensics.
* Major statistical methods: Data exploration - 7 cluster
algorithms and Principal - Component Analysis
* Class modeling - K Nearest Neighbor and SIMCA - class modeling
* Regression modeling - Principal component - Regression and
Partial - Least Squares Regression
Grahpics: Provided in a windowing style interface with interactive
scientific visualization techniques. 2D Scatter - 3D Rotatable Scatter
- Point Labels - Color Categories Linking - Dendrograms - Line Plot -
Multiple 2D Scatter - Plot Arrary - Zoom - Point Selection and more.
System recommendations: 386 with FPU or 486 PC/Vectra, 4 megabytes
of RAM recommended, 5 megabytes of free hard disk space. Microsoft
compatible mouse.
Compatible file formats include: Lotus 1-2-3 and ASCII. Many
instrument company file formats are also available for the major
spectrometer and chromatography system manufacturers. Publication
quality graphics output is available to over 300 different print devices
including color tiff.
Configuration Data:
not available
Pricing Information:
$4,000 Quantity purchase discounts available upon request
System Information:
HP VECTRA MS-DOS
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
RS/1
BPR022500100 Premier ISV
BBN Software Products
150 Cambridgepark Dr.
Cambridge, MA 02140
Susan Cohen (617) 873-4857
Industry:
Math/Statistics\Data Analysis
Data Management\Data Retrieval/Reporting
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Product Description:
RS/1 software is the industry-leading software for technical data
analysis. A fully integrated system that combines a comprehensive array
of functions in an easy-to-use environment, RS/1 software meets the
special needs of technical professionals in a wide variety of industries
and activities.
Features include:
* Statistics
* Curve fitting
* Graphics
* Modeling
* Report generating
* Powerful custom programming
Engineers and scientists around the world use RS/1 software in
applications ranging from research and product development to
manufacturing quality control and process improvement.
Leading industrial companies that recognize manufacturing
efficiency as a critical competitive advantage use RS/1 software
throughout the product development and manufacturing cycle. No other
tool can match the RS/1 system's ability to:
* Facilitate research * Reduce development * Increase process
yields * Improve product quality.
Configuration Data:
not available
Pricing Information:
One time license fee; retail price available upon request.
System Information:
HP VECTRA MS-DOS
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
RS/1 Software
BPR022500100 Premier ISV
BBN Software Products
150 Cambridgepark Dr.
Cambridge, MA 02140
Susan Cohen (617) 873-4857
Industry:
Math/Statistics\Data Analysis
Data Management\Data Retrieval/Reporting
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Product Description:
RS/1 software is a comprehensive statistical, data management, data
analysis and decision support tool specifically designed for
engineering, manufacturing and scientific applications. Users benefit
from detailed data analysis results because RS/1 is a fully integrated
system that combines a comprehensive array of functions is an
easy-to-use environment that features an OSF/Motif Graphica User
Interface and an interactive X-Window System table editor. The system
integration features of RS/1 software include a built-in programming
language and easy access to external data sources.
RS/1 software is designed to enhance the productivity of technical
professionals, engineers and scientists. From integrated circuit
production and mechanical engineering to laboratory automation and
quality control, no other tool matches the RS/1 system's ability to
facilitate and improve manufacturing yields - all leading to increased
competitive advantage and profitability.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
RS/Decision Software
BPR022500100 Premier ISV
BBN Software Products
150 Cambridgepark Dr.
Cambridge, MA 02140
Susan Cohen (617) 873-4857
Industry:
Math/Statistics\Data Analysis
Artificial Intelligence\Expert Systems
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Product Description:
RS/Decision software is an expert system shell fully integrated
with the industry standard RS/1 data analysis system for developing
integrated, plant-wide quality manufacturing and engineering
applications.
RS/Decision software provides manufacturing and engineering
professionals with practical expert system development tools for
decision support. RS/Decision software assists the capture and
automation of expertise - without extensive AI experience. The
RS/Decision shell is easy to learn (two-day training), will integrate
with existing applications and runs on HP and other vendors.
The RS/Decision system provides menu-driven utilities for building,
maintaining and accessing knowledge bases. It is ideally suited for
applications such as training, quality control, process control,
equipment maintenance and troubleshooting, production scheduling,
product selection and formulation, hotline support and online
documentation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
RS/Discover Software
BPR022500100 Premier ISV
BBN Software Products
150 Cambridgepark Dr.
Cambridge, MA 02140
Susan Cohen (617) 873-4857
Industry:
Math/Statistics\Data Analysis
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Custom\All Custom Manufacturers
Product Description:
RS/Discover software allows technical professionals to plan,
execute and analyze designed experiments that help realize the most
information in the fewest experimental runs. Created especially for use
in industrial research, development, engineering and manufacturing
environments, the RS/Discover system is the first software package for
the design of experiments that is fully integrated with a complete
system of data management, graphics and analysis tools.
The RS/Discover system allows users to define experimental
conditions, select an appropriate design, enter collected data, and
perform a complete analysis and interpretation of experimental results.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
RS/Explore Software
BPR022500100 Premier ISV
BBN Software Products
150 Cambridgepark Dr.
Cambridge, MA 02140
Susan Cohen (617) 873-4857
Industry:
Math/Statistics\Data Analysis
Data Management\Data Retrieval/Reporting
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Product Description:
Designed by senior engineers and statisticians at BBN for
scientists and engineers in R&D, manufacturing, quality control and
other industrial environments, the RS/Explore system is an innovative
statistical advisor that provides a new level of computerized assistance
for technical data analysis.
Using graphical displays and a series of menus, RS/Explore software
leads users through the analytical process with extensive support and
guidance on appropriate statistical techniques and methodologies.
RS/Explore software provides an interactive environment and offers users
the ability to analyze and interpret complex engineering and scientific
data, allowing both statisticians and non-statisticians to have greater
understanding of technical information.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
S-PLUS
SSC981400000 ISV
STATSCI (Statistical Sciences, Inc.)
1700 Westlake Ave. N. #500
Seattle, WA 98109
FAX (206) 283-8691
Industry:
Math/Statistics\Statistical Analysis
Graphics\Graphical Output (general)
Math/Statistics\Data Analysis
Product Description:
S-PLUS is the state-of-the art interactive language and system for
data analysis, graphics, mathematical computing, and statistics. S-PLUS
is a fully supported enhancement of the "New S" language developed by
Becker, Chambers, and Wilks at AT&T Bell Laboratories. Features of
S-PLUS include:
* X-Window System Interactive Graphics: Reshapable and saveable
plot windows, separate scrollable "help" windows, brush-and-spin with
linked highlighting
* Exploratory Data Analysis: Boxplots, Q-Q plots; pairwise scatter
plots; scatter plot smoothers; multivariate displays
* Mathematical Computing and Numerical Analysis: Numerical linear
algebra; complex arithmetic; fast Fourier transformation
* Multivariate Statistics; Robust Methods; Simulation
* Flexible and Easy-To-Use Presentation Graphics: Multiple data
set and multiple plot composition tools; legends, tables, and text; pie
charts; bar charts; histograms; time series plots; gray scale and
contour images; camera-ready high-resolution laser printer output with a
single command.
Configuration Data:
not available
Pricing Information:
Educational and Non-Profit discounts available
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
SAS/IML(R) Software
SAS275000000 Premier ISV
SAS Institute Inc.
SAS Campus Dr.
Cary, NC 27513
Dennis Massengill (919) 677-8000
Industry:
Math/Statistics\Linear Programming
Math/Statistics\Statistical Analysis
Math/Statistics\Data Analysis
Product Description:
SAS/IML(R) Software, a component of the SAS System of information
on delivery software, interactive matrix programming facility for
sophisticated data analysis and manipulation. The most widely-used data
management, mathematical, and matrix operations are built directly into
the software. SAS/IML Software offers a high-level programming language
similar to APL.
Configuration Data:
not available
Pricing Information:
Licensed on an annual basis - contact vendor
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
SAS/INSIGHT(TM) Software
SAS275000000 Premier ISV
SAS Institute Inc.
SAS Campus Dr.
Cary, NC 27513
Dennis Massengill (919) 677-8000
Industry:
Math/Statistics\Data Analysis
Graphics\Graphical Output (general)
Math/Statistics\Statistical Analysis
Product Description:
SAS/INSIGHT(TM) software an integrated component of the SAS(R)
System of information delivery software, is a highly interactive tool
for graphic data analysis. SAS/INSIGHT software lets users explore data
through a variety of graphic displays (i.e. bar charts, scatter plots,
3-D rotating plots). All observations are linked, so changes in one
graph show immediately in all others.
Configuration Data:
not available
Pricing Information:
Licensed on an annual basis - contact vendor
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
SAS/STAT(R) Software
SAS275000000 Premier ISV
SAS Institute Inc.
SAS Campus Dr.
Cary, NC 27513
Dennis Massengill (919) 677-8000
Industry:
Math/Statistics\Statistical Analysis
Math/Statistics\Data Analysis
Math/Statistics\Linear Programming
Product Description:
SAS/STAT(R) Software, a comprehensive statistical analysis tool,
includes pre-written procedures for regression analysis, analysis of
variance, categorical data analysis, multivariate analysis, cluster
analysis, survival analysis, Psychometric analysis, and non-parametric
analysis. An interactive windowing facility enables users to edit and
manage input, output, and messages. SAS/STAT Software is a an
integrated component of the SAS System of information delivery software.
Configuration Data:
not available
Pricing Information:
Licensed on an annual basis - contact vendor
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
SPSS Statistical Data Analysis Software
SPS606500100 ISV
SPSS Inc.
444 N. Michigan Ave.
Chicago, IL 60611
Susan Phelan (312) 329-2400
Industry:
Math/Statistics\Statistical Analysis
Advertising\Market Research
Education/Colleges\Statistics
Product Description:
SPSS Statistical Data Analysis Software is one of the largest
selling computer applications tools in the world. It's currently used
by thousands of organizations to solve the complex problems involved in
applications such as market research, survey analysis, statistical
quality control, government reporting, database reporting, scientific
and medical research, human resources research, and computer performance
analysis and capacity planning.
SPSS is an accessible and highly versatile system that allows even
the novice user to handle, define, and distribute critical information
with ease and efficiency. Automatic features are provided so that an
absolute minimum of amount of effort by the analysts is required to
define files.
SPSS Release 4.0, the latest release of the product, features an
interactive menu interface that takes advantage of the operating systems
under which the product runs. The new interface allows users to get
immediate feedback on their data analysis tasks as they are performed,
and it provides on-line help which allows users to refer to HELP
messages at any point in a session.
In addition, SPSS Release 4.0, includes a new Macro facility that
allows users to create their won simple commands and specifications to
accomplish very complex or repetitive tasks. This facilitates the
creation of applications tailored to the specific needs of
non-sophisticated users. SPSS also has direct interfaces to leading
DBMS programs including: Oracle, Ingres, Sybase and Informix.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
STAT/1000
ERE846500100 ISV
Eyring, Inc.
1455 W. - 820 N.
Provo, UT 84601
Paul Meyers (801) 375-2434
Industry:
Math/Statistics\Data Analysis
Product Description:
Statistical analysis tool designed for manufacturing firms to
relate product performance and quality to probable causes
STAT/1000 is a user friendly, yet highly sophisticated statistical
analysis tool designed to assist manufacturing firms in relating process
performance & product quality to probably causes. STAT/1000 is flexible
& powerful, yet so simple to use that the novice can easily get
meaningful results on his first run.
Key features:
* Friendly, menu driven user interface
* Interactive or batch processing modes
* Data input from IMAGE or ASCII file
* Data editing
* Data transformations
* Modern regression (modeling) techniques
* Simple statistics (menu, median, standard deviations,etc.)
* Correlations
* Graphical output (histograms, scatter plots, smooth plots,
labeled variable box plots, etc.)
* Plot spooler allows analysis while plotting
STAT/1000 is a unique and powerful data analysis tool. Eyring
Research Institute, Inc. will continue to develop new modules to add to
the package. We intend to be sensitive to the needs of our customers,
and will attempt to develop these new modules in response to those
needs.
The STAT/1000 system includes relocatable object code and
libraries, loader command files for easy installation, an installation
guide, a Users Manual and a Tutorial Manual.
Configuration Data:
not available
Pricing Information:
$995.00 license
System Information:
HP1000 RTE
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
STATIT
GRA950500100 ISV
Graphicus
6904 - 156th St. N.W.
Stanwood, WA 98292
FAX (206) 652-8315
Industry:
Math/Statistics\Data Analysis
Mfg/Support\Quality Control Management
Math/Statistics\Statistical Analysis
Product Description:
STATIT is a modular data analysis system designed for use in
manufacturing and laboratory environments. It is being used by
thousands of users to:
* Understand lab and manufacturing data
* Produce quality control charts
* Investigate, compare and troubleshoot
* Perform statistical analysis
Modules are available for data management, statistical analysis,
quality analysis, graphics and custom procedure writing and interfaces
to popular databases. Since STATIT is part of the Graphicus family of
integrated products for data analysis and presentation which include
GRAFIT, STATIT/QC and DRAWIT you can add additional capabilities when
you need them.
STATIT can be integrated with external data from files, databases,
and programs. It supports X-Windows and most terminals, printers and
plotters. Through a programmatic interface, it can be used from C or
Fortran programs to provide powerful application building capabilities.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP1000 RTE
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
SimuSolv
TDC486660000 ISV
The Dow Chemical Company
1707 Bldg.
Midland, MI 48674
FAX (517) 638-9622
Industry:
Math/Statistics\Data Analysis
Chemical/Pharmaceutical\Petrochemical
Math/Statistics\Statistical Analysis
Product Description:
The SimuSol(R) program is an integrated, multi-functional software
package designed to help scientists and engineers model time-dependent
physical systems in engineering, chemical kinetics, pharmacology,
toxicology, environmental sciences and agriculture. It is used to
simulate the behavior of dynamic systems, optimize their performance,
and estimate the best values of model variables. Key features include:
* Parameter estimation accomplished by fitting models to
experimental data using the statistically sound maximum likelihood
method.
* System optimization performed using either of two available
nonlinear constrained optimization procedures.
* Advanced 2-D and 3-D graphics which give the user great
flexibility in presenting the results of simulations, optimizations, and
sensitivity analyses.
The program accommodates non-computer-oriented researchers by
providing default settings for almost all of its functions. At the same
time, it allows professional modelers almost complete freedom to apply
their skills to modeling tasks -- including the ability to incorporate
standard FORTRAN code into their models. The program is fully supported
including upgrades, free hot-line, newsletter and training.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
Statistical Analysis Library
PAR805400000 ISV
Parametrics, Inc./ESC
PO Box 8035
Loveland, CO 80537
Bill Arrington (303) 667-4030
Industry:
Math/Statistics\Statistical Analysis
Mfg/Aero/Defense/Govt Contract\Quality Management
Mfg/Process\Quality Management
Product Description:
The Statistical Analysis Library (SAL) is a must for anyone in
engineering, scientific, business, and social science disciplines to
quickly and efficiently analyze the reams of data in today's
informational explosion.
SAL is a result of over 15 programmer years of development.
Initially designed and developed between PhDs in Statistics and
Hewlett-Packard Engineers it has grown to contain over 500 proven
statistical and graphical algorithms and has been a premier product on
HP Computers for many years. With over 10,000 copies sold, it can
satisfy everyone from the novice to serious statisticians worldwide.
Not only does it contain a robust set of statistical algorithms, it is a
completely interactive package with no programming necessary. Its human
interface is designed to take the user step by step from data entry
through all phases of data analysis and presentation.
The package comes in two parts. Part I is for users with limited
statstical needs, and contains Basic Statistics and Data Manipulation,
General Statistics, Statistical Graphics, Regression Analysis, and
Non-Linear Regression Analysis. Part II contains Analysis of Variance,
Monte Carlo Simulation Utilities, and Principal Components and Factor
Analysis for those who require a more comprehensive analysis capability.
There are virtually hundreds of algorithms under each category that
could not be listed here. The Statistical Library Package is shipped on
10 floppies and includes a comprehensive 450 page manual containing
theory, references, and examples to facilitate ease of use.
Configuration Data:
not available
Pricing Information:
Part I - $950.00 - Part II - $750.00
Complete package - $1495.00
System Information:
HP VECTRA MS-DOS
HP9000/300 BASIC
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
The SAS(R) System
SAS275000000 Premier ISV
SAS Institute Inc.
SAS Campus Dr.
Cary, NC 27513
Dennis Massengill (919) 677-8000
Industry:
Math/Statistics\Data Analysis
Accounting\Project Cost Management
Application Dev./Software Eng.\Integrated Product Support Env
Product Description:
The SAS(R) System - an integrated suite of software products for
enterprise-wide information delivery-provides organizations with tools
to access, manage, analyze and present their data within an applications
development environments. The SAS System is grouped into modular
components that give organizations the opportunity to obtain only the
functinality they need. And, as the organizations' needs grow, they can
add additional SAS System components, thus allowing their computing
capabilities to grow with them. Capabilities within the SAS System
included EIS, spreadsheets, graphics, data analysis, report writing,
quality improvement, project management, computer performance
evaluation, client/server computing, database access, decision support,
applications development and more. Components within the SAS System
include:
* BASE SAS(R) Software - foundation of SAS System
* SAS/ASSIST(R) Software - interface to SAS System
* SAS/AF(R) Software - applications development facility
* SAS/FSP(R) Software - data entry, retrieval and letter writing
* SAS/GRAPH(R) Software - high resolution graphics
* SAS/STAT(R) Software - statistical analysis
* SAS/ETS(R) Software - business planning, forecasting and decision
support
* SAS/QC(R) Software - quality improvement
* SAS/OR(R) Software - operations research and project management
* SAS/IML(R) Software - matrix programming
* SAS/CONNECT(R) Software - cooperative and distributed processing
* SAS/INSIGHT(TM) Software - statistical graphics. Other SAS
System components are also available under other operating environments.
The SAS System is modularly designed to give organizations the
flexibility to license only the functionality they need. As their needs
grow and change additional components can be added on in a completely
integrated manner across the wide range of computing environments
supported by the software.
Configuration Data:
not available
Pricing Information:
Licensed on an annual basis - contact vendor
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
VisSim
VSO018660000 Complimentary Vendor
Visual Solutions, Inc.
487 Groton Rd.
Westford, MA 01886
FAX (508) 692-3102
Industry:
Math/Statistics\General Engineering Math
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
VisSim provides a simple, intuitive, graphical environment for
mathematical modeling, simulations, analysis and real-time controls.
The diagram is the program. It will greatly enhance the productivity of
engineers, scientists, mathematicians and financial analysts in their
development of complex systems. VisSim offers 70+ linear and non-linear
mathematical blocks that can be graphically combined to solve a models
equations. Users can define their own blocks in C, C++ and FORTRAN.
Run simulations, and plot results, all within a single, interactive
graphic environments create virtual instrumemtation panels and use them
to control prototypes or include them in the end user product.
An optional analysis package lets the user select transfer function
information, zeroes and poles, and bode and root locus.
The optional real-time interface option enables users to quickly
and easily select and configure I/O channel and channel types with the
click of a mouse.
Configuration Data:
not available
Pricing Information:
MS/Windows: Demo $10, personal edition $395, full $1195, analyze
$495, RT $250
UNIX: Demo $25, personal edition $695, full $3,495, analyze $695
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
WiziTeX
MEN601660000 ISV
Microsystems Engineering Corporation
2500 W. Higgins Rd. #950
Hoffman Estates, IL 60195
Fax (708) 882-8643
Industry:
Math/Statistics\Math Routines/Libraries
Product Description:
WiziTeX is a proportional equation editor based on the TeX
language. Equations can be created by pointing and clicking on symbols
and icons or by typing in the TeX language. Using the split screen
option, the visual equation is displayed above while the TeX code is
displayed below. Whenever either window is modified, the corresponding
window is automatically updated. WiziTeX can import and export TeX.
Imported code will display WYSIWYG equations on the screen, making it
easy for a non-technical user to continue editing technical manuscripts.
WiziTeX also provides a cross-platform Font Symbol Manager, allowing you
to create an equation on one platform and print it from any other
supported platform. Since each platform supports a unique set of
symbols, this capability ensures consistency with printouts, eliminating
conversions and other last minute editing and proofreading. WiziTeX can
be used with other GUI word processors through use of the clipboard.
WiziTeX is an OLE product, making it compatible with other OLE software
products. WiziTeX is also compatible with MASS-11 Classic, MEC's
character-based document processor.
Highlights:
* Cut and paste equations between windows.
* Redefinable palette lets you store and select frequently used
mathematical and scientific symbols.
* Text can be entered in a variety of proportional fonts and point
sizes.
* Transfer equations seamlessly between platforms with a single
file format.
* Automate the creation of complex expressions using the Macro
Manager.
* Six levels of zoom to close in to work on an expression or zoom
out to view the entire equation.
Configuration Data:
not available
Pricing Information:
PC $245.00 - MAC $195.00 - Workstations $295.00
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
statit Quality Control
STA973660000 Complimentary Vendor
Statware, Inc.
260 S.W. Madison Ave.
Corvallis, OR 97333
FAX (503) 758-4666
Industry:
Math/Statistics
Product Description:
statit Quality Control is part of a family of integrated modules
providing graphical data analysis. This moudle gives you the tools to
design optimal quality environments and monitor manufacturing processes.
You can expand the Quality Control Module's capabilities by adding
optional modules for:
Statistics - perform advance statistical procedures such as
regression, analysis of variance, design of experiments, and time series
- Custom Graphics - create unique graphs or customize those provided -
Procedure Writing - automate complex tasks and tailor exisitng statit
procedures - Programmatic Interface - link with other applications
allowing statit to either control or be controlled by the application -
Quality Control - provides control charts for variables and attributes
data - Database Interfaces to Sybase, Informix, Ingres and Oracle
through familiar SQL statements.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
statit(TM)
STA973660000 Complimentary Vendor
Statware, Inc.
260 S.W. Madison Ave.
Corvallis, OR 97333
FAX (503) 758-4666
Industry:
Math/Statistics\Data Analysis
Mfg/Process\Quality Management
Graphics
Product Description:
statit is a family of integrated modules providing graphical data
analysis. At the heart of statit is the powerful Base System that gives
you data management capabilities, descriptive statistics, and
high-resolution graphics. The Base System opens the door to your data
and allows you to select, modify, manipulate, analyze, and display data
within an easy-to-use, hands-on environment.
You can expand the Base System's capabilities by adding optional
modules for:
Statistic - perform advanced statistical procedures such as
regression, analysis of variance, design of experiments, and time series
- Custom Graphics - create unique graphs or customize those provided -
Procdure Writing - automate complex tasks and tailor existing statit
procedures - Programmatic interface - link with other applications
allowing statit to either control or be controlled by that application -
Database interfaces to Sybase, Informix, Ingres and Oracle through
familiar SQL statements.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
ACIS
STE803660000 Complimentary Vendor
Spatial Technology Inc.
2425 - 55th St. Bldg. A
Boulder, CO 80301
FAX (303) 440-9762
Industry:
Mechanical Engineering\Solids Modeling
Application Dev./Software Eng.\Support Programs & Utilities
Languages/Operating Systems\Object-oriented Languages
Product Description:
ACIS is a precise, boundary - representation geometric modeler
written in the C++ object-oriented programming language. ACIS is
designed as a "solid modeling operating system" to be used as an
applications building block or can by integrated into an OEM software
package. It allows the representation of the usually separate worlds of
wireframe, sculptured-surface, and solid models to co-exit naturally in
its data structure. The ACIS modeler supports advanced features,
including NURBS, used in 3-D design, product modeling, analysis, and
automated manufacturing. Because ACIS is written in C++, it is compact,
fast, robust, and extensible. ACIS allows applications and systems
developers to enter the world of object-oriented geometric modeling.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
ADAMS
MDY481500100 Premier ISV
Mechanical Dynamics, Inc.
2301 Commonwealth Blvd.
Ann Arbor, MI 48105
FAX (313) 994-6418
Industry:
Mechanical Engineering\Mechanical System Analysis
Aerospace\Aeronautical Engineering
Aerospace\Aircraft/Aerospace Simulators
Product Description:
ADAMS is a software product used to produce and test highly
accurate mechanism models for motion and forces. This allows engineers
to predict the feasibility of any mechanical system or product without
having to first build a physical prototype. Drawing from a library of
over 50 components, the engineer simply identifies the physical
characteristics of the system using the iconic model builder in
ADAMS/VIEW. Once the user has specified part geometry, constraints, and
forces, ADAMS automatically formulates and solves the equations of
motion and provides graphic and plotted output of the system
performance.
STRENGTHS -- 1) Ease of modeling; 2) Integrators and solvers; 3)
Mathematical function library; 4) Accessibility of user written
subroutines; 5) Technical support 6) Flexible body interface; 7) Debug
features; 8) Restart features; and 9) Turnkey CAD/CAE interfaces.
CUSTOMER PROFILE - 1) Mechanical engineer or designer in the
product design process designing and building hardware prototypes with
insufficient time or resources. 2) Engineering analyst spending too
much time doing basic programming instead of concentrating on solving
the analytical problem. 3) Typical customer is experienced or exposed
to MCAE tools and methods. 4) Application industries include
automotive, aerospace, aeronautics and defense, robotics, agricultural
and construction machinery, general machinery and assembly equipment,
accident reconstruction, and consumer products.
HP Products Supported: Series 400 and Series 700 - CRX247 X-11 and
Starbase - X-11 required. Additional Comment:
Training, specialized applications programming, consulting.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
ADINA
ARD021660000 Complimentary Vendor
ADINA R & D, Inc.
71 Elton Ave.
Watertown, MA 02172
Dr. J. Walczak (617) 926-5199
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Fluids Flow Analysis
Mechanical Engineering\Thermal Analysis
Product Description:
The ADINA System is a state-of-the-art computer program that
emphasizes reliable and efficient finite element techniques and user
conveniences for pre- and post-processing. The ADINA System consists of
the following programs:
* ADINA: For displacement and stress analysis of solids and
structures
* ADINA-T: for analysis of heat transfer and field problems
* ADINA-F: For fluid flow with heat transfer problems
* ADINA-IN: a pre-processor of the ADINA System
* ADINA-PLOT: a post-processor of the ADINA System
The ADINA System is uised worldwide in engineering analysis and
design for its specific strengths in many areas, briefly mentioned here:
* Modeling of general shell structures, including composite shells
* Static and dynamic contact conditions: metal-forming problems
* Rubber-like material models with large displacements and large
strains
* Analysis of very large finite element model (cyclic symmetry)
* Analysis of heat transfer in solids and fluids and turbulent
fluid flow
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
AEA-FLOW3D
CFD152660000 ISV
CFDS Inc.
#400, No. Highland Rd.
Pittsburgh, PA 15241
FAX (412) 833-4580
Industry:
Mechanical Engineering\Fluids Flow Analysis
Chemical/Pharmaceutical\Petrochemical
Mechanical Engineering\Thermal Analysis
Product Description:
AEA FLOW3D is a CFD code designed for solving complex fluid
dynamics problems within complex geometrical constraints. FLOW3D
eomploys a hybrid numerical technique that combines the traditinal
geometrical flexibility of the multiblock "finite element" grid with the
computational speed and wide ranging physics of the traditional "finite
volume" numerics.
AEA FLOW3D provides the following capabilities:
* Integrated 3-D pre/post processor for fast problem specification,
* Multi-block (topologically unstructured) grid for geometrical
flexibility,
* Low Reynolds K-e, Reynolds stress and Reynolds flux turbulence
models for greater accuracy in a much broader range of flow regimes than
K-e alone,
* Eulerian multiphase and Lagrangian particle tracking,
* compressibility, automatic time stepping, higher order
differencing and Fortran user subroutines for customizing the software.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
AIMS
ANA452400100 ISV
Anatrol Corp.
10895 Indeco Dr.
Cincinnati, OH 45241
William G. Halvorsen (513) 793-8844
Industry:
Mechanical Engineering
Product Description:
Acoustic intensity measurement program for studying sound radiation
of noise source
AIMS program is an implementation of the two-microphone acoustic
intensity measurement technique for desktop computers coupled
w/two-channel fourier analyzers. Acoustic intensity technique is a
recent development that enables complete characterization of noise
sources in a fraction of time required for other noise source
identification techniques. Measurements made with acoustic intensity
technique are relatively insensitive to the acoustic environment, so
measurements can be made in uncontrolled acoustic spaces such as
production floors and general plant environments.
AIMS program consists of a number of easy to use software monitors
that are used to perform the various setup, measurement, calculation,
storage, and display routines. Each monitor is activated by special
function keys on the computer. Specific AIMS features include:
Computation of narrow-band and 1/3 octave intensity spectra, pressure
spectra, and sound power spectra - Computation of overall levels of
spectra - Automatic correction of measurement system phase errors -
Built-in a-weighting function - Mass storage of acoustic intensity and
pressure spectra - Report-ready plotting of spectra and sound radiation
patterns in a variety of formats - Provision for including user-created
utility routines - Directories for stored data.
Typical applications where AIMS can be an effective noise source
identification tool include internal combustion engines, electrical
transformers, compressors, aircraft interiors, transmissions,
appliances, computers, printers, and piping systems. AIMS can also be
adapted to quality control applications.
Acoustic intensity measurement hardware is also available from
Anatorl. The hardware includes an intensity probe with removable
measurement tip, an amplitude and phase calibrator. The AIMS hardware
allows measurements to be made remote from the computer/analyzer
location.
Configuration Data:
not available
Pricing Information:
$5,000 U.S and Canada; $6,000 International (License)
System Information:
HP 9800 9800 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
ANSYS
SAE452660000 DAR
Structural Analysis Engineering Corp.
9545 Kenwood Rd. #402
Cincinnati, OH 45242-6100
Fax (513) 793-5197
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Solids Modeling
Product Description:
ANSYS is a general-purpose, full-featured finite element program
used in the analysis of a wide range of components from space shuttle
turbine blades to consumer products. ANSYS is capable of handling
structural stress, vibration thermal, acoustic, magnetic, fluid and
coupled-field analyses. Features of the ANSYS program include:
*Integrated solid modeling including Boolean operation
*Automatic mesh generation from the solid geometry
*Application of loads and boundary conditions to the solid gometry
*Material and geometric nonlinerities
*General 3D contact surfaces with friction
*Design optimization
*Integrated Pre and Post processing with macros/programming
language
*Large strain and hyperelastic elements
*Geometry input from every major CAD program
ANSYS is developed by Swanson Analysis Systems, Inc. (Houston, PA)
and is supported by a world-wide dealer network.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
ANSYS
SAN153500100 Premier ISV
Swanson Analysis Systems, Inc.
Johnson Rd.
PO Box 65
Houston, PA 15342
FAX (412) 746-9494
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Solids Modeling
Mechanical Engineering\Thermal Analysis
Product Description:
The ANSYS software is a general-purpose finite element computer
program for engineering analysis which includes preprocessing, solid
modeling, analysis, postprocessing, graphics, and design optimization.
Developed, marketed, and supported by Swanson Analysis Systems, Inc., in
Houston, PA, the software is used for solutions to mechanical, thermal,
electronic and magnetic problems. As a vital part of product
development cycles, this mechanical computer-aided engineering system
integrates design and analysis functions for superior product design and
early product verification.
The program is used in many industries including aerospace,
automotive, civil construction, electronics, medical, metals, power
generation, offshore structures, packaging, railroad, and
transportation.
Support services include extensive documentation, a telephone
hotline, and worldwide training seminars. ANSYS Support Distributors
provide licensing and technical assistance in major cities across the
United States and throughout the world. The program is frequently
updated to provide leading-edge technology to engineers in a wide range
of disciplines for solutions to mechanical, thermal, electronic, and
magnetic problems.
Configuration Data:
not available
Pricing Information:
Contact Distributor
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
ANVIL 5000
CAD452660000 DAR
CADPAC, Inc.
655 Eden Pk. Dr.
Cincinnati, OH 45202
Richard Gory (513) 562-8400
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Solids Modeling
Product Description:
ANVIL 5000 is platform independant CAD/CAM software solution
consisting of 20 and 30 design drafting, finite element modeling,
EDMPLEX surfaces, SOWDS modeling and NC machining. All modules work
within a single database.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
ANVIL 5000
CCS000660000 DAR-TS (TOP SELLERS)
Sysix Technologies
8615 W. Bryn Mawr Ave. #401
Chicago, IL 60631
Fax (312) 693-3918
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Support\Computer-Aided Manufacturing
Mechanical Engineering\Solids Modeling
Product Description:
ANVIL 5000 is integrated, modular CADD/CAM/CAE software for
mechanical engineering. Because ANVIL 5000 is modular, you buy only the
capabilities you need; whether it is 3-D Design Drafting or 5 Axis
machining, without compromising future growth.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
ANVIL-5000
MCS927500100 Premier VAR
Manufacturing & Consulting Services, Inc
7560 E. Redfield Rd.
Scottsdale, AZ 85260
Mitchell Clousner (602) 991-8700
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mechanical Engineering\Solids Modeling
Product Description:
ANVIL-5000 is a modular 3-D CADD/CAM/CAE software system for
mechanical engineering. Wireframe, surface and solids modeling,
parametric modeling, drafting, geometric analysis, finite-element pre
and post-processing, and numerical control are completely integrated
into one double-precision database. Because ANVIL-5000 is modular,
users can start with a stand-alone wireframe modeling and drafting
system, then grow into a networked, full-featured system without
affecting their database or their initial software investment. Because
ANVIL-5000 is integrated, users can access any function at any time
during the work session, without having to copy, translate, or re-input
data. The user interface features both icons and menus. The icons can
be programmed, redesigned, or both. Multiple sets of icons can be
stored for immediate recall when needed. Menus can be configured by the
user, adding, dropping or re-arranging items. Custom applications
written in GRAPL-IV and Extended GRAPL-IV can be added to the menus or
icons and run as if they were standard functions.
Configuration Data:
not available
Pricing Information:
Vectra = $8,000+; workstations = $12,000+
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
ARGOS Mechanical Engineering Design
ARG018660000 ISV
ARGOS Systems, Inc.
60 Mall Rd.
Burlington, MA 01803
FAX (617) 229-0435
Industry:
Mechanical Engineering\Mechanical Design
Electrical/Electronic Eng.\Electro-Mechanical Design
Mfg/Discrete\Computer-Aided Manufacturing
Product Description:
ARGOS Mechanical Engineering Design is an interactive CAD/CAM
application system with efficient and easy to use drafting, 3D design
and image handling properties. ARGOS has been developed based on
optimal selection of design tools and general simplicity of use and
flexibility of the system.
ARGOS provides an integrated system for product design and data
management. ARGOS supports the entire design process from the basic
design phase to final part lists, documentation and project drawing
archives, components and material databases, and change order
management. The system is easily customizable and includes many
effective practical features such as parametric language, multiple
active drawings, parametric tolerances, machining symbols, welding
symbols, steel structure design, Toolkit module for mechanical
components, 3D Plate Folding, quick viewing of archived drawings, etc.
The ease of use and simplicity combined with professional training
and support contribute to getting the system quickly into productive
use.
ARGOS also offers electrical wiring design, architectural and
building design, and facilities management and layout applications.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
ARIES
KDP207660000 DAR
Kandl Data Products, Inc.
12104 Indian Creek Ct. #H
Beltsville, MD 20705
FAX (301) 210-0325
Industry:
Mechanical Engineering\Solids Modeling
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Product Description:
Predicitive engineering design software for mechanical engineers.
Modules include:
* Solids modeling
* Mass properties
* Materials information
* Kinematics
* Statics
* Finite element analysis
* Ansys module
* Thermal analysis
Kandl Data Products provides complete integration services,
hardware and software installation, network integration, and training.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
AUTO-CAM XD
ITEH9R500100 ISV
ICAM Technologies Corp.
1900 Sources Blvd.
Pointe Claire, Quebec
Canada H9R 4Z3
Fax (514) 697-8621
Industry:
Mechanical Engineering
Product Description:
AUTO-CAM XD Professional 2D Drafting CAD module for CAM-10.
AUTO-CAM XD is a 2D professional design/drafting system which
provides users with a 2D drafting system with both analytical design,
drafting, and tutorial features. AUTO-CAM XD is proven to be an
efficient and accurate tool for engineers, designers, and draftspersons.
It possesses imbedded computer aided learning examples and exercises
which allows users to become operationally productive within days. The
user can manipulate drawings quickly and with ease using rescale,
rotate, zoom, detail, mirror, delete, translate, and other features.
AUTO-CAM XD includes layering semi-automatic dimensioning, as well as
imperial and metric systems. It is fully integrated with ICAM NC
software, CAM-10, as well as HP's ME-10.
Configuration Data:
HP Software Required: X-Windows
HP Hardware Required: HP-HIL Module
Pricing Information:
Available upon request
System Information:
not available
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
AX-1000 View Advantage
COG018660100 DAR
Cognition Corporation
755 Middlesex Turnpike
Billerica, MA 01821
Mike Cronin (508) 670-5300
Industry:
Mechanical Engineering\Mechanical Design
Product Description:
A member of the Advantage CAD/CAM family allowing for factory floor
viewing and editing of CAD/CAM design databases and for generating
manufacturing drawings or red-lining. These facilities include 2D or 3D
drafting, associative dimensioning, conics, drawing mode, 16
simultaneous views. Excellent for design checking stations. Databases
created on AX-1000 may be used without modification throughout the
Advantage family.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
AX-2000 Drafting Advantage
COG018660100 DAR
Cognition Corporation
755 Middlesex Turnpike
Billerica, MA 01821
Mike Cronin (508) 670-5300
Industry:
Mechanical Engineering\Mechanical Design
Product Description:
A complete 2D or 3D drafting system. It includes complete
facilities for ANSI/ISO/JIS dimensioning, associative dimensions,
conics, B-splines, model mode/drawing mode, 16 simultaneous views, user
defined views, sub-figures, model-to-drawing associativity, bezier
curves, and full featured editing tools. The "Mockingbird" interface
allows tailoring of user commands and macros to meet every user's needs.
Databases created on AX-2000 may be used throughout the AX family of
CAD/CAM products.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
AX-3000 Detail Advantage
COG018660100 DAR
Cognition Corporation
755 Middlesex Turnpike
Billerica, MA 01821
Mike Cronin (508) 670-5300
Industry:
Mechanical Engineering\Mechanical Design
Product Description:
A full-functioned 3D wire frame, design and analysis CAD package.
Encompassing the rich set of tools required for 3D modeling and 2D
Drafting. AX-3000 meets the need for drafting and design. A full 32
bit, double precision database ensure precision. The "Mockingbird" user
defined user interface allows each operator to tailor the commands and
macros to his own taste. All standard geometric modeling entitles are
supported including B-splines and B-Surfaces. AX-3000 includes
associative dimensioning, model-to-drawing associativity, user defined
views, high performance graphics, and Model Draw.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
AX-4000 Design Advantage
COG018660100 DAR
Cognition Corporation
755 Middlesex Turnpike
Billerica, MA 01821
Mike Cronin (508) 670-5300
Industry:
Mechanical Engineering\Mechanical Design
Product Description:
Surface Modeling is Advantage CAD/CAM's top of the line 2D and 3D
design, analysis and modeling system. It encompasses all required
functions to develop and present color shaded images or designs, analyze
designs, and create drafting documentation in complete agreement with
ANSI/ISO/OIS standards. All design entities are supported including
bezier and sculptured surfaces. Mass property analysis is completed at
the touch of a button. Databases created in model mode may be related
into an unlimited number of drawing databases, simplifying EOO updates
and changes. Color shaded images give solid repsentation to surface
models including advanced surface types.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Aries Conceptstation
TTEL6J660000 DAR
The Team Corporation
295 Robinson St.
Oakville, Ontario
Canada L6J 1G7
FAX (416) 842-9195
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Solids Modeling
Product Description:
The Aries Conception is a mechanical design software system that
allows designers to practise predictive engineering so that they know
early in product development how their designs will perform. They do
this by building and tesint software prototypes, which decreases the
number number of hardware protypes required. Aries can help tighten the
design cycle by 50 to 80 percent, get products to market faster, and
save money.
Aries also makes FEA easy, providing a clean pre- adn postprocessor
for industry standard analysis packages. Static, non-linear, thermal,
kinematics and vibration are a few of the analysis types that can be
performed with Aries.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
AutoCAD
AUT949660000 Premier ISV
Autodesk, Inc.
2320 Marinship Wy.
Sausalito, CA 94965
FAX (415) 331-8093
Industry:
Mechanical Engineering\Mechanical Design
Architectural/Structural Eng.\Drafting
Civil Engineering\Mapping
Product Description:
AutoCAD is a general purpose CAD program that runs on desktop
computers and workstations. The program's open architecture and
embedded high-level programming language make it uniquely flexible and
versatile as a graphics standard for nearly every discipline. AutoCAD
features easy-to-use pull-down menus, dialogue boxes and icon menus that
help you take advantage of a host of dynamic design features, including
full three-dimensional design capability.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
AutoCAD
AED940660000 DAR
Avcom EDA
573 Maude Ct.
Sunnyvale, CA 94086
FAX (408) 733-5329
Industry:
Mechanical Engineering\Mechanical Design
Civil Engineering\Roadway Design
Electrical/Electronic Eng.
Product Description:
"AutoCAD" is the basis for most Engineering designs, though with
the addition of 3rd part applications software, AutoCAD can also be used
for architectural design, civil engineering, GIS/mapping, structural
engineering, product design, industrial design, tool design, facilities
management, surveying, highway design, etc.
The suite of Electronic Design Automation (EDA) software supported
by AVCOM, allows us to provide most of the "point solutions" required by
todays EDA marketplace. Most of the key EDA products run on HP
hardware. Civil Engineering is one of AVCOM's target vertical markets
where design automation has proven extremely valuable to the end-users,
thus our growth in this niche has come first.
Configuration Data:
not available
Pricing Information:
$3,750 - $25K
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
AutoCAD
CCA433660000 DAR
Custom CAD, Inc.
106 W. Ash St.
PO Box 1662
Piqua, OH 43356
FAX (513) 773-6556
Industry:
Mechanical Engineering\Mechanical Design
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
AutoCAD is the industry standard design and drafting software for
all the reasons you might expect. It is the most technically advanced
desktop CAD system available today. It is fast accurate and extremely
versatile. It offers a comprehensive selection of 2D and 3D design
tools that make designers dramatically more productive. But more than
anything, AutoCAD is the industry standard because over 400,000
customers around the world have decided it is the CAD package that best
meets their needs.
AutoCAD will run on most PC and Workstation platforms, inclusing
the HP 9000 series, model 700's.
Whether your design application is Mechanical, Architectural,
Aircraft, Electrical or Medical, AutoCAD should be your choice too.
Beacuse of the popularity of this program, thousands of add-on utilities
are available.
Configuration Data:
not available
Pricing Information:
$3,500 suggested retail price
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
AutoCAD
MIN600660000 DAR
Micro International
1500 Skokie Blvd.
Northbrook, IL 60062
FAX (708) 480-8840
Industry:
Mechanical Engineering\Solids Modeling
Property Management\Facilities Management
Product Description:
AutoCAD the most popular CAD software in the world is now available
on the HP 9000 Series 700. AutoCAD is the industry's CAD software
standard featuring a combination of advanced design and drafting tools
to make you dramatically more productive.
HP 9000 Series 700 workstations have the power you need to deliver
the AutoCAD performance you want at a price you can afford to pay. It's
an unparalleled combination that's ready to run your application today.
Configuration Data:
not available
Pricing Information:
$3750 - AutoCAD
$495 AME - Solid Modeling
System Information:
HP VECTRA MS-DOS
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
AutoCAD
MSE392660000 DAR
Middle South Computer Exchange
PO Box 12630
Jackson, MS 39236
FAX (601) 932-6867
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Aero/Defense/Govt Contract
Government
Product Description:
AutoCAD on Series 700 - Novell LAN integration.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
AutoCAD
TTEL6J660000 DAR
The Team Corporation
295 Robinson St.
Oakville, Ontario
Canada L6J 1G7
FAX (416) 842-9195
Industry:
Mechanical Engineering\Mechanical Design
Architectural/Structural Eng.\Drafting
Civil Engineering\Roadway Design
Product Description:
The most popular CAD system in the world. AutoCAD is used for all
CAD applications scanning mechanical design, civil engineering,
architectural, GIS, electrical, etc. A wide variety of third party
application software is available to tailor AutoCAD to any CAD
requirement.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
AutoCAD Release 12
EMI921660001 DAR
Executive Micro Inc.
2950 Airway Ave. #D-5
Contra Mesa, CA 92626
FAX (714) 540-3521
Industry:
Mechanical Engineering\Solids Modeling
Architectural/Structural Eng.\Design/Simulation/Analysis
Office Automation\Presentation Graphics
Product Description:
AutoCAD Release 12 for the HP 9000 Series 700 using HP-UX.
AutoCAD Release 12, Autodesk 3D Studio and Autodesk Renderman for
HP 386/486 Vectra using MS-DOS.
Hewlett-Packard/Novell Local and Wide Area Networks linking
Personal Computers, UNIX Work Stations, and Apple Personal Computers.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
AutoKIT
ATE303660000 DAR
Applied Software Technology Inc.
1908 Cliff Valley Wy. N.E.
Atlanta, GA 30329
FAX (404) 633-0154
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Mechanical System Analysis
Mechanical Engineering\Solids Modeling
Product Description:
Autokit is an architectural table overlay designed for basic
architectural drafting related to construction documents. Powerful
AutoLisp routines provide the user with architectural functions to
accelerate the base drafting functions, including automated detail
generation - automated "cloud" - architectural detail symbols - wall
routines with inside, outside or centerline - wall intersection clean-up
- symbol search, find and highlight. More then 300 pre-defined generic
furniture symbols in 2D and 3D.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
AutoSpeed
HAG625660000 DAR
Hagerman & Company, Inc.
PO Box 139
Broadway & Sunset Ct.
Mt. Zion, IL 62549
David Hagerman (217) 864-2326
Industry:
Mechanical Engineering\Mechanical Design
Product Description:
Autospeed consists of dozens of powerful AutoLISP routines and a
custom screen menu to turn an ordinary AutoCAD system into a
high-performance workstation. It provides a variety of different
functions all designed to help you work faster and more productively.
Anyone who uses AutoCAD will greatly benefit from AutoSpeed, but
many of the functions are of particular interest to firms with
mechanical design and drafting applications. Features include
high-speed zooming, the AutoSave feature, detail magnification and much
more!
Configuration Data:
not available
Pricing Information:
AutoSpeed $495
System Information:
HP VECTRA MS-DOS
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
Autocad
NAOH4T660000 DAR
Naoki Systems Inc.
611 McCaffrey
St. Laurent, Quebec
Canada H4T IN3
FAX (514) 731-6676
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Process\Bill of Materials
Mfg/Discrete\Computer-Aided Manufacturing
Product Description:
* CAD/CAM
* Bill of Materials
* Metal Bending
* Finite Element
* MRP Interface
* Design Automation
* NC (Post Processor)
* Database Interface
* Facilities Management
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
Autocad
SCC379660000 DAR
Southern CAD/CAM
215 Center Pk. Dr. #100
Knoxville, TN 37922
Fax (615) 675-0668
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Aero/Defense/Govt Contract\Computer-Aided Manufacturing
Systems Integrators
Product Description:
Autocad is the industry - standard design and drafting software.
It's fast, accurate, and extremely versatile offering a comprehensive
selection of 2-D and 3-D design tools enabling designers to become more
productive. Autocad's file portability feature allows the direct
transfer of Autocad drawings to any computer running Autocad regardless
of the operating system. Autocad's 3rd party application partners
provide extensive discipline specific software such as Softdesk modules
for civil, architectural, structural, mechanical and horizontal
environments.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Autocad from Autodesk
ENT857660002 DAR
Entre' Computer Center
3812 Rochester Rd.
Troy, MI 48083
Fax (313) 528-3573
Industry:
Mechanical Engineering\Mechanical Design
Product Description:
Autocad from Autodesk, Hewlett-Packard, and Aerocad systems, a
division of Entre' Computer Center - Troy offer an excellent solution
for mechanical engineers.
Aerocad Systems, an authorized reseller of Autocad, combines the
performance of Heweltt-Packard's 700 series Unix workstations with the
world's favorite CAD program. Aerocad Systems offers:
* Authorized Autocad and HP reseller
* Pre and post sales support
* 1-800 number support line
* Decicated training and service facilities
* Metro Detroit location
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Bezier Surface Modeling
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mechanical Engineering\Mechanical Design
Product Description:
Bezier Modeling develops complex surface models. Surface
definition capabilities include the classic methods of entering control
points or fitting a series of curves, as well as advanced techniques,
such as converting other surfaces (ruled, curve-driven, surface of
revolution) to Bezier surfaces, moving a set of curves along another
curve on a given surface, and stretching a surface between boundary
curves. Surface modification capabilities range from global commands
for offsetting or trimming one surface to another, triming a surface to
a curve, adding fillets between surfaces, and combining any number of
surfaces together, to detailed edits for altering one control point and
requiring the surface to pass through specific points. Analysis
capabilities show area, surface curvature, mean square error and
inflection points. A variety of other operations can be performed on
Bezier surface such as creating a cross sectional slice or surface
intersection curve and projecting a curve onto a surface.
Prerequisites: Series 7000 Graphics Software
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
C-MOLD 3.2
ATE148660000 ISV
AC Technology
11492 Bluegrass Pkwy.,S-100
Louisville, KY 40299
Peter Medina (502) 266-6727
Industry:
Mechanical Engineering\Finite Element Analysis
Artificial Intelligence\Expert Systems
Mechanical Engineering\Fluids Flow Analysis
Product Description:
C-MOLD is a set of integrated CAE for injection molding and
co-injection molding, mold cooling, gas-assisted injection molding,
reactive moldiing processes, and part shrinkage and warpage analysis.
C-MOLD analyses provide answers at all stages of the design and
manufacturing process to identify workable solutions, improve
productivity and enhance part quality in plastics molding operations.
C-MOLD v3.2 includes:
Analysis Software Products - C-FLOW - C-COOL - C-PACK - C-WARP -
C-GASFLOW - and C-SET
Interactive Software Products - C-VIEW - IGES INTERFACE - VDAFTS
INTERFACE - C-TRANS - and C-DESIGN.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
CAD 3-D
GRA601500100 ISV
GrayTech Software, Inc.
2172 Menomini
Wheaton, IL 60187-7870
Fax (708) 682-0047
Industry:
Mechanical Engineering\Mechanical Design
Architectural/Structural Eng.\Drafting
Mfg/Support\Computer-Aided Manufacturing
Product Description:
CAD 3-D, offers HP/Apollo users state-of-the-art capabilities in
mechanical design and drafting at an affordable price. Our CAD 3-D
software, is a comprehensive, two-and true three-dimensional,
menu-driven system with an excellent track record in speed, power and
reduced turnaround time.
Standard features of CAD 3-D include geometric analysis, data
verification, on-line calculator, macros, automatic ANSI dimensioning
with geometric tolerancing, multiple views, dynamic rotations, complex
3-D wire frame modeling, surfacing, bill of materials, private and
public patterns, cross-hatching, layering, custom IGES, Encapsulated
PostScript output and GERBER input/output. CAD 3-D optional features
include FORTRAN and/or C programming, interfaces to Interleaf, DXF,
Framemaker, Context, Patran, ISIF, Mentor, and MOSS, and a Fastener
Library.
GrayTech Software, Inc. provides unrivaled customer support
services, including incorporation of customer-based enhancement requests
in new software releases. In addition to CAD 3-D, GrayTech Software,
Inc. offers CADX11(R) on HP 9000/700, and other UNIX computers with
X-Windows, and WindowsNT/3.1 operating systems.
Configuration Data:
not available
Pricing Information:
$1500 2-D version
$2500 - 3-D version (includes 2-D version)
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
CAD ARCHIVE
BOR441660000 VAR
Borgware, Inc.
7550 Lucerne Dr. #302
Middleburg Heights, OH 44150
Fax (216) 891-0802
Industry:
Mechanical Engineering\Mechanical Design
Data Management\Special File Access Methods
Mfg/Custom\Project Management
Product Description:
"CAD ARCHIVE" is a product that works from within Hewlett-Packard's
ME10/30 CAD software. Any company that would like to improve their
method of archiving drawings would use CAD ARCHIVE. Productivity gains
are made with tasks such as loading, searching, and storing through the
use of an advanced user interface. There are 2 levels of interface
operation. The first provides ease of loading existing files through a
simple menu pick. The second allows for drawing selection through the
use of a predetermined, user defined form sheet. Sorts and searches are
possible through the user specified criterion fields. A form generator,
for the creation of data entry formats, make the archive system
for-specific. Essential data and criteria that will be useful to
preview an archive would be included in the formsheet. In order to
protect designs from unauthorized access, a special access code can be
assigned to an entire archive. With this type of easy to use system,
one can archive their drawing files with favorable results.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
CAD X11 (R)
GRA601500100 ISV
GrayTech Software, Inc.
2172 Menomini
Wheaton, IL 60187-7870
Fax (708) 682-0047
Industry:
Mechanical Engineering\Mechanical Design
Architectural/Structural Eng.\Drafting
Mfg/Discrete\Numeric Control
Product Description:
CAD X11(R) is a workstation independent, Mechanical CAD system
ideal for design and manufacturing applications. A built-in floating
network license allows users to choose from workstations running UNIX,
Windows NT and/or DOS/Windows 3.1 operating systems.
Standard features of CAD X11(R) include 3-D wireframe modelling,
customer IGES and DXF, macros, ANSI, ISO, BSI, DIN and JIS dimensioning,
geometric tolerancing, undo, undo, surfacing, Gouraud shading, dynamic
rotations, multiple views, data verification, geometric analysis,
crosshatching, layering and on-line HELP. Add-on Options includes User
Programming in "C" or Fortran, and custom interfaces for Framemarker,
Interleaf, Patran, and others. Input/Output methods include pen and
electrostatic plotters, PostScript and HP LaserJet printers, CGM tablets
and digitizers. GrayTech provides device drivers free of charge.
Pricing begins at US $995 for the powerful lite version of CAD
X11(R), offering the most feature-rich MCAD solution for today's
economically minded engineers and drafters. First in its field, CAD
X11(R)/Lite includes excellent drafting functions, standard DXF and IGES
interfaces, and the floating network license.
Configuration Data:
not available
Pricing Information:
$995 - $2950.00
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
CAD-Access(R)
XYS481660000 ISV
Xysys Inc.
32500 Telegraph Rd. #201
Bingham Farms, MI 48025
Fax (313) 433-1737
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Support\Computer-Aided Manufacturing
Product Description:
Purpose: To provide end users from various backgrounds, such as
data exchange, engineering, finance, purchasing and manufacturing, with
a cost effective, menu driven, easily trained method to access, analyze
and evaluate design and engineering data generated by these major CAD
systems: ME30/10 (Hewlett Packard); CADAM and CATIA (IBM); Unigraphics
II (EDS); PDGS (Ford Motor Co.); CGS (General Motors); CADDS, MEDUSA and
CALMA (Prime), and others. Transfer of data between selected dissimilar
CAD systems is also supported.
Description: A typical system consists of a Xysys-IGES module,
which is a common data acceptance program, together with an appropriate
CAD-Access module, to provide the requisite data editing and evaluation
ability. The system uses tailored IGES. In the CAD-Access 3D format
the mathematical representations and structures used by the originating
system are faithfully replicated. This capability allows the end user
to view, section, analyze, measure or mark-up parts, as if they have
direct access to all the parent systems. The system is able to display,
modify and integrate wire mesh, surfaces and full engineering drawings
including dimensions, text, GD&T, views, and annotations. Data flow and
structures from and to the same host CAD systems are supported. A
direct native read of CATIA and Ford Standard Tape (FST) are also
available.
Configuration Data:
not available
Pricing Information:
CAD-Access start at $25000 for one pricing. The pricing includes
all Xysys IGES modules. Strategic corporate and volume pricing
discounts available. Software maintenance agreements are available.
System Information:
HP VECTRA MS-DOS
HP9000/400 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
CADD 5
CTEL4B660000 DAR
Conceptual Technologies Inc.
45 Vogeu Rd. #700
Richmond Hill, Ontario
Canada L4B 3P6
FAX (416) 770-9066
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Finite Element Analysis
Mfg/Discrete\Numeric Control
Product Description:
CADD 5 is a parametric-based CAE/CAD/CAM family of software
products which provide users with a complete set of conceptual design,
engineering and manufacturing capabilities. The product offers the
industry's broadest suite of integrated applications; from conceptual
modeling to engineering analysis, to NC programming built on an open
systems approach.
Features Include: easy-to-use modif-based user interface;
interoperable applications supported by a single model database;
dimension-driven design; variational sketching; parametric modeling;
equation solving; feature-based modeling.
The software is used by the world's leading manufacturers for a
wide range of industrial and consumer products from automobiles and
aircraft engines to ski boots and compact disc players.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
CADDS 5
CAL921660000 VAR
Computervision Corporation
100 Crosby Dr.
Bedford, MA 01730
Al Hopkins (617) 275-1800
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Mechanical System Analysis
Mechanical Engineering\Solids Modeling
Product Description:
Computervision is the world's leading vendor of mechanical design
automation software. Our CVware and CADDS5 software provides the
industry's most advanced products for mechanical design and
manufacturing. CVware products are personal productivity tools for
discrete tasks such as 2-D sketching, 3-D paraametric modeling, finite
element modeling, drafting, viewing and redlining, and NC turning and
milling. Ergonomically designed, CVware products contain the latest in
Computervision technology and provide design professionals with
competitive alternatives to niche software solutions. And CVware
products share data transparently! This interoperability eliminates the
use of error-prone and time-consuming data exchange tools. The
ever-growing CVware family provides design professionals with
cost-effective, interoperable solutions not previously available. CADDS
5 products are strategic design and manufacturing tools for companies
who wish to achieve total quality. Developed in conjunction with
automotive, aerospace, and mechanical industry partners, CADDS 5
applications allow multi-discipline product definition in a parametric
modeling environment. Applications are available for the entire
concept-through-manufacturing cycle, from design modeling and analysis,
shape design, electronic mockup, rapid prototyping, to advanced 3- and
5-axis milling. All CADDS 5 applications are based on a single
integrated product model that facilitates information flow and
associativity. In addition, users of CVware products can access CADDS 5
models to perform their own design and manufacturing tasks.
Companies of all sizes, whether their task complexity is high or
low, can benefit from CVware and CADDS 5 products and grow at their own
pace using the latest in Computervision technology. For over twenty
five years, the vanguards in the automative, aerospace, consumer
products, defense, AEC, and general manufacturing industries have
implemented a total process approach based on CADDS applications.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
COSMOS
TTEL6J660000 DAR
The Team Corporation
295 Robinson St.
Oakville, Ontario
Canada L6J 1G7
FAX (416) 842-9195
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Dynamic Analysis
Mechanical Engineering\Mechanical System Analysis
Product Description:
COSMOS is one of the most functional, yet cost effective anlaysis
tools available. It interfaces to the most widely used mechanical
design software packages like Autocad, Pro-Engineer, Aries, etc.
A full range of analysis are available including:
* Linear Static
* Non-Linear
* Thermal
* Fluid Flow
* Crash
* Vibrational
* Optimization
* Stress
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
COSMOS/M ENGINEER
SRA904400000 ISV
Structural Research and Analysis Corp.
2951 28th St. #1000
Santa Monica, CA 90405
Nazita Fadakar (310) 452-2158
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Solids Modeling
Product Description:
COSMOS/M ENGINEER adds advanced FEA capabilities to Parametric
Technology's powerful Pro/ENGINEER. Which means you can analyze the
same structural systems you modeled in Pro/ENGINEER under actual design
loading conditions-and get timely results in minutes. With Pro/ENGINEER
and COSMOS/M Engineer working together you can have a seamless, fully
integrated mechanical design from concept, to stress and thermal
analysis, to manufacturing--without ever leaving your workstation. The
analysis capabilities offered are: buckling, frequency and stress
thermal. If additional analysis capabilities are desired, then the user
can have access to other COSMOS/M modules for advanced applications
which can only result in improving the quality of the product.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
COSMOS/M Version 1.65A
SRA904400000 ISV
Structural Research and Analysis Corp.
2951 28th St. #1000
Santa Monica, CA 90405
Nazita Fadakar (310) 452-2158
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical System Analysis
Product Description:
COSMOS/M is a full function modular finite element package used by
engineers and designers to analyze the strength and performance
characteristics of products and structures. It utilizes the newest
techniques to increase efficiency of design during the product
development phase. Due to its modular design, users can tailor the
system to meet their specific needs. Featuring advanced pre-and
postprocessing capabilities, COSMOS/M performs a wide range of analysis:
* Linear static analysis *Frequency and modes shape analysis
* Nonlinear heat transfer and fluid flow analysis
* Advanced dynamic analysis *Nonlinear structural analysis
* Electromagnetic and thermal analysis
* Structural optimization *Advanced linear dynamics
* Shell of revolution analysis.
* Crash worthiness *Linear design optimization
* Fatigue and pipe analysis COSMOS/M offers an extensive element
library allowing the user to better replicate the environment which
their designs are subjected to. It also features a user-friendly CAD
interface allowing IGES or DXF files to be brought into COSMOS/M for
further analysis.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP VECTRA MS-DOS
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
CV-DORS
CAL921660000 VAR
Computervision Corporation
100 Crosby Dr.
Bedford, MA 01730
Al Hopkins (617) 275-1800
Industry:
Mechanical Engineering\Solids Modeling
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Mechanical System Analysis
Product Description:
CV-DORS, Developers Open Resource Software, is commercially
available modeling and framework technology for developers of
engineering and scientific applications.
CV-DORS 3-D Modeler is a collection of object-oriented software
libraries that provide programmatic access to the same 3-D modeling
technology used by Computervision CADDS 5 software for mechanical
design. Software developers can embed NURBS (Non-Uniform Rational
B-Spline) curve, NURBS surface, wireframe, and solids technology within
their own specialized applications.
CV-DORS Design Desk Framework makes it easy for developers to
integrate software applications in a common environment for easy access
by end users. Sophisticated inter-process communication provides
transparent access and manipulation of data among different
applications.
Integrate Computervision software technology within your
specialized applications and benefit from over twenty-five years of
modeling experience.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
Computervision CADDS 5
FTE462660001 DAR
Fortune Technologies Corporation
39111 W. Six Mile Rd.
livonia, MI 48152
Kathy Moran (313) 591-7469
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Aero/Defense/Govt Contract\Computer-Aided Manufacturing
Mfg/Process\Numeric Control
Product Description:
Computervision CADDS 5 product is made up of several modules that
start with a front end variational sketcher called Designview.
Designview contains a symbolic equation solver designed for the
what-ifs? of non-linear equations.
Next is a three dimensinal parametric modeler that is feature based
which is very easy to learn because it uses engineering terms such as
hole, slot, boss instead of geometric terms. The CADDS 5 solid modeler
module is aimed at users whose requirement for detailed geometry designs
is critical. Features include explicit wireframe/solid modeling, GLN
editing, HLR, and solid sectioning. CADDS 5 view and mark up is an easy
to use package that allows review of designs via the workstation or a PC
via X-windows or an X-terminal, As stated in the name drawing may be
red lined via this LDW END package without tieing up a design set.
CADDS 5 CVNC provides the user with the means to take the design to
production by utilizing milling, stamping, and turning via 2.5 thru 5
axis machining. Superior nurbs and electronic data management,
capabilities are also available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
9000/1200 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
ConceptStation(R) MCAE Software Series
ATE018660000 Premier ISV
Aries Technology Inc.
600 Suffolk St.
Lowell, MA 01854-3698
FAX (508) 458-2541
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Solids Modeling
Product Description:
Aries Technology's ConceptStation(R) MCAE Software Series allows
design engineers to quickly create, analyze and optimize solid model
software prototypes before other costly stages of product development
such as CAD, prototyping, and manufacturing. The methodology decreases
the number of design/drafting iterations and hardware protypes required,
sharply reducing product development time and costs. The series
comprises ten integratedmodules that provide interactive solid modeling,
parametric design modification, material property management, on-line
analysis (FEM/FEA, mechanisms analysis), mass and section properties,
handbook solutions, engineering design markup, local area networking,
communications, and links to CAD (IGES, DXF). ConceptStation is the
only product of its kind that offers workstation-level power and
performance and yet is easy for non-specialist engineers to learn and
use, even on a casual, intermittent basis.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Conceptstation
SCC379660000 DAR
Southern CAD/CAM
215 Center Pk. Dr. #100
Knoxville, TN 37922
Fax (615) 675-0668
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Aero/Defense/Govt Contract\Computer-Aided Manufacturing
Systems Integrators
Product Description:
Conceptstation series of MCAE software is comprised of modules that
provide interactive solid modeling, parametric and variational solid
editing of the model, integrated feature based entities, on-line finite
element modeling with analysis, mechanisms modeling and analysis,
material properties with solver, drawing mode/model mode associativity
with the detail drawing tied back to the 3-D conceptual model. Aries
Software is designed as a predictive up-front design engineering tool
for use by conceptual design engineers during the initial design phase.
Design Data created using Aries Conceptstation software is easily
transferred to other software systems. Aries offers 19 different
software modules to fit your needs of design engineering and
manufacturing professionals.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
DADS(Dynamic Analysis and Design System)
CAD523400000 ISV
CADSI
2651 Crosspark Rd.
Coralville, IA 52241
FAX (319) 626-3488
Industry:
Mechanical Engineering\Dynamic Analysis
Product Description:
DADS is a mechanical computer-aided engineering (MCAE) software
package that enables the simulation and analysis of complex mechanisms
and mechanical systems. It performs nonlinear large displacement
transient analysis and simulation. Multi-disciplinary applications,
such as controls, hydraulics and mechanical systems are incorporated in
DADS, which includes flexible and rigid body elements. DADS solves for
displacement, velocity, acceleration, and reaction forces of models. It
performs static, kinematic, inverse dynamic and dynamic analysis. DADS
allows the user to model real-world behavior and interpret performance
through plots, graphs, tables and animation. DADS' ability to animate
the results of mechanical analysis helps the user understand complex
mechanical systems, making it ideal for simulation and demonstration of
pre-prototype designs. Options include wireframe, translucent and
solid-shaped geometry with light sources and shadowing. Applications of
DADS software are used in many industries including aerospace, aircraft,
agriculture, earth moving systems, heavy equipment, materials handling
equipment, military vehicles and robotics. DADS also interfaces to most
FEA/FEM and CAD programs.
Configuration Data:
not available
Pricing Information:
Varies on machine type. Complete DADS system starts at $7,000 on
386 Vectra; to $40,000 on HP 9000 85X series and Apollo 10000. Other
386 products also available
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
DECADE Design Series
CRE282500100 VAR
CADSHARE Resources, Inc.
9303-N Monroe Rd.
PO Box 11859
Charlotte, NC 28220-1859
Fax (704) 845-2659
Industry:
Mechanical Engineering\Mechanical Design
Electrical/Electronic Eng.\Electro-Mechanical Design
Mechanical Engineering\Mechanical System Analysis
Product Description:
DECADE-UX streamlines the automation of engineering tasks including
mechanical, GIS mapping, process design and electrical applications.
Featuring a complete implementation of the OSF/MOTIF graphical user
interface and X11 windows technology, the system is accessed through a
combination of pulldown and pop-up menus and the user interface is
easily customized to fit the way different engineers, designers and
illustrators work.
DECADE-UX offers many industry leading tools that emphasize
functionality, performance and ease of use through out the design
process. These superior features include cut and paste between drawing
sessions, a family of parts hierarchy, bi-directional translators (DXF,
MI, IGES), Postscript or HPGL output, a variety of fonts plus a font
editor to create custom fonts, hypertext on-line help, and the custom
functionality of a macro language.
Users now have the ability to custmize DECADE-UX using a powerful
macro language. The DECADE-UX macro language provides a quick and easy
method of executing a sequence of commands automatically with little or
no user input. The macro programs can use virtually all of DECADE-UX's
drafting, grouping and database, functions and can incorporate
arithmetic, algebraic and trigonometric functions. Everything needed by
an application developer is featured in the macro language including
debugging aids, on-line macro editing, and integration in the user
interface.
Configuration Data:
not available
Pricing Information:
$995 1st sessions
$495 addl sessions
System Information:
HP9000/300 BASIC
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
DECADE-UX
CRE282500100 VAR
CADSHARE Resources, Inc.
9303-N Monroe Rd.
PO Box 11859
Charlotte, NC 28220-1859
Fax (704) 845-2659
Industry:
Mechanical Engineering\Mechanical Design
Aerospace\Aeronautical Engineering
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
"DECADE-UX" is the first CAD/CAM package to feature a
state-of-the-art motif interface, based on X.11 windows technology.
"DECADE-UX" is a full-featured, 2D/3D design and drafting system for
initial design, design modification and documentation of engineering
projects. The software is totally portable between systems that support
OSF/MOTIF.
"DECADE-UX" incorporates all industry standard drafting features,
drawing elements, formatting elements, measurement commands, advanced
manipulation commands and annotation/document commands. Another
important feature of "DECADE-UX" is its ability to import and export
files from ME10, Autocad, and many other application packages such as
Framemaker, Pagemaker and Interleaf.
"DECADE-UX" can be used to create a wide array of complex
mechanical engineering drawings. Full on-line HELP and on-screen
commands simplify the learning process and help reduce training costs.
Look for a demonstration version of "DECADE-UX" on Hewlett-Packard's HP
Software Store CD.
Configuration Data:
not available
Pricing Information:
$3,495.00 additional copies $1,000.00 each
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
DesignView
CTEL4B660000 DAR
Conceptual Technologies Inc.
45 Vogeu Rd. #700
Richmond Hill, Ontario
Canada L4B 3P6
FAX (416) 770-9066
Industry:
Mechanical Engineering\Mechanical Design
Architectural/Structural Eng.\Design/Simulation/Analysis
Product Description:
DesignView is a Windows-based parmetric CAD tool designed
specifically for designers and engineers. It's a 2-D sketcher,
parametric design package, and analytic equation solver all in one.
Features include: Dimension-driven geometry; intelligent user
interface; analytical tools.
With built-in industry standard data exchange capabilities,
DesignView is the ideal front-end design tool for all CAD systems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
DesktopBRAVO
STC481660000 VAR
Schlumberger Technologies CAD/CAM Div.
4251 Plymouth Rd.
Ann Arbor, MI 48106
Jim Fall (313) 995-6000
Industry:
Mechanical Engineering\Mechanical Design
Product Description:
DesktopBRAVO Modeler and Detailer are used together to improve
overall design and drafting productivity. Modeler is a fast easy to use
system for creating three dimensional models of part and assembly
designs. Detailer uses the same part and assembly models created by
Modeler to help a draftsman quickly create detail and assembly drawings.
It can automatically extract top, front, side and any other desired view
from the part model saving the time required to generate them.
Dimensions and annotations can be quickly added to complete the drawing.
Configuration Data:
16 MB Memory, 420 MB disk
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Die Design
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Computer-Aided Manufacturing
Product Description:
Die Design is a design and drafting tool for the production of
piercing, blanking, bending, compound and progressive dies. Starting
from a part's desired finished geometry, Die Design aids in strip layout
of the part. Several layouts can then be quickly tried and compared,
based on material utilization and other calculated factors. The package
interactively locates and displays die set components (punches, plates,
springs, pilots, etc.) in the assembly. The package also generates
cross-sectional views and reports, including bills of materials.
Prerequisites: Series 7000 Graphics Software
Recommended: Application Interface Eagle Programming Systems
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
ETA Binder Development
ETE480660000 DAR
Engineering Technology Associates, Inc.
1100 E. Mandoline #B
Madison Heights, MI 48071
Wing Lee (313) 588-9010
Industry:
Mechanical Engineering\Mechanical Design
Mfg / Ind Specific Solutions\Fabricated Metal Products Mfg
Product Description:
ETA Binder Development is an interactive binder and addendum
design/analysis program for sheet metal stamping process. Designed to
create and modify the binder ring geometry, and the addendum geometry on
the punch/die face based on the part geometry. The user may take the
flandes, draw bar and trim ledge into account when creating the addendum
geometry. This menu driven program handles both conventional draw and
inverted draw process. The forming severity of the part will be
analyzed based on geometrical methods, include: calculated blank
outline, ring skid line, contact pattern between binder and punch/die,
average sectional stretch, and deformed sheet shape during the forming
process. The program provides excellent CAD data interfaces with PDGS,
CGS, IGES, and chrysler vendor file line data.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
FAD1 (Flow Analysis and Design)
ASC481500100 ISV
Airflow Sciences Corp.
37453 Schoolcraft Rd.
Livonia, MI 48150-1007
FAX (313) 464-5879
Industry:
Mechanical Engineering\Fluids Flow Analysis
Product Description:
FAD1 utilizes the panel method in which discrete vortices are
placed on the surface of 2 or 3 dimensional objects and sized to satisfy
no-flow-through (or specified flow-through) boundary conditions. In
this way, the idealized flow through any stationary object (e.g. a duct)
or about any moving object (e.g. a fan) may be computed.
It has been found that whenever a boundary layer remains attached
to the surface of a body, the localized real-world and ideal flow fields
are similar. Whenever a boundary layer separates from the surface,
similarity ceases to exist and pressure drag increases. In the latter
case, Airflow Science's inversing techniques may be used to determine
subtle changes to localized corner shapes which restore attached flow
and increase energy efficiency. The inversing techniques thus replace
costly trial-and-error testing with numerical simulation.
FAD1 contains several graphical programs which aid the user in the
preparation of geometry-describing input files and which allow quick and
insightful analysis of the results of the various analytical programs.
Detailed documentation, "user-friendly" design and on-line HELP
facilities all aid the engineer in concentrating on the problem at hand
while making use of the tools. A one week training course is offered to
provide in-depth knowledge of the system.
Configuration Data:
not available
Pricing Information:
$9,900 per package
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
FEMB
ETE480660000 DAR
Engineering Technology Associates, Inc.
1100 E. Mandoline #B
Madison Heights, MI 48071
Wing Lee (313) 588-9010
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Dynamic Analysis
Mechanical Engineering\Solids Modeling
Product Description:
FEMB is a pre and post processing program that interfaces with CAD
data as well as the widely used finite element analysis programs
MSC/NASTRAN, ANSYS, and ABAQUS. FEMB can handle a large number of nodes
and elements and is intentionally structured for quick and efficient
response.
Configuration Data:
not available
Pricing Information:
$4800 First copy
System Information:
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
FIDAP
FDI602500100 ISV
Fluid Dynamics International
500 Davis St. #600
Evanston, IL 60201
Babak Kusha (312) 491-0200
Industry:
Mechanical Engineering\Fluids Flow Analysis
Aerospace\Aeronautical Engineering
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The FIDAP Fluid Dynamics Analysis Package is a general purpose
computer program that uses the finite-element method to simulate many
classes of incompressible fluid flows.
Two-dimensional, axi-symmetric or three-dimensional steady- state
or transient simulation in complex geometries are possible. FIDAP
provides a wide range of possible analyses including: isothermal and
non-isothermal Newtonian and non-Newtonian flows; turbulent flows (zero
equation or two equation k-e models); free, forced or mixed atmospheric
flows; swirling flows; creeping flows; flows in rotating frames of
reference; flows with a free or moving surface; and surface tension
gradient driven thermal flows. Preparation of the FIDAP input datafile
is performed by the interactive input preparation pre-processor program
FIPREP which includes the mesh generation module FIMESH. A companion
graphics post-processor program FIPOST provides the user with the
capability of graphically analyzing the abundance of numerical data
produced by FIDAP. FIPOST allows the production of mesh plots; contour
and vector plots; plotting of solution variables along arbitrary lines
in space; time history plots; power spectrum plots; heat flux, boundary
stresses and flow rate computation; for 2-D or 3-D simulations.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
FIELDVIEW
ILI074660000 ISV
Intelligent Light
1099 Wall St. W.
Lyndhurst, NJ 07071
FAX (201) 460-0221
Industry:
Mechanical Engineering\Dynamic Analysis
Aerospace\Aeronautical Engineering
Graphics\Animation
Mechanical Engineering\Fluids Flow Analysis
Product Description:
Intelligent Light's FIELDVIEW product provides interactive
investigation of Fluid Mechanics datasets. Experimentalists and CFD
users can explore their volumetric data using a variety of visualization
techniques, including animation. FIELDVIEW computes a variety of scalar
and vector functions on the field data and represents the results as
cutting planes, iso-surfaces, arrow fields, contour lines and particle
paths. A MOTIF-based graphic user interface makes the product easy to
learn and operate.
Direct interfaces are avilable for PLOT3D, FLUENT, FLOW-3D,
PHOENICS, CFD-2000, VISIUN and more. A tool kit option provides users
with the ability to integrate their own FORTRAN and C functions.
Configuration Data:
not available
Pricing Information:
$5,500 US
$8,500 International - University Discounts Available
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
FILECOPY
FAR611500100 DAR-TS (TOP SELLERS)
Farb Systems Inc.
129 S Phelps Ave.
#902
Rockford, IL 61108
FAX (815) 394-1370
Industry:
Mechanical Engineering\Mechanical Design
Architectural/Structural Eng.\Drafting
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
The FILECOPY program allows you to specify a disk or directory from
which drawings are to copied, transferred, or purged. You can then
specify whether the task should be performed on the entire disk or
separately display each file name to selectively choose files. The
source and destination devices can be specified in the program, as well.
Tasks can be performed in combination, such as copy and purge,
eliminating the need to purge drawings off an old disk once they have
been transferred to a new disk. A catalog listing of all the file names
can be displayed for either the source device or the destination device.
Configuration Data:
not available
Pricing Information:
$100.00
System Information:
HP9000/300 BASIC
HP9000/700 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
FINESSE
ASC481500100 ISV
Airflow Sciences Corp.
37453 Schoolcraft Rd.
Livonia, MI 48150-1007
FAX (313) 464-5879
Industry:
Mechanical Engineering\Fluids Flow Analysis
Architectural/Structural Eng.\Environment Eng & HVAC
Earth Resources Engineering\Environmental Engineering
Product Description:
FINESSE provides a flexible tool for analysis of fluid flow
networks.
Its Capabilities include:
Fixing Geometry and Solving for Flow Rates - Fixing Flow Rates -
Fixing Flow Rate and Solving for Geometry - Analysis of Network Heat
Transfer - Analysis of Chemical or Particle Transport - East Extension
to Model any Network Type.
FINESSE has been successfully used to solve problems in a variety
of fields, including:
Automotive HAVAC Balancing - Electric Power Plant Flow - Turbine
Blade Cooling - Pneumatic Particle Transport - Industrial HVAC - Food
Processing Ovens - Combustion Air for Boilers.
X-Windows based pre- and post-processing allows for the
straightforward creation of numerical models and the analysis of
results. The program may be run interactively or through a rich command
language interface. This latter option allows for parametric studies.
Consulting services, an in-depth training course, detailed documentation
and regular program updates are all available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
FLUENT
CRE037500100 Systems Integrator
Fluent Inc.
10 Cavendish Ct.
Centerra Resource Pk.
Lebanon, NH 03766
Brian Drew (603) 643-2600
Industry:
Mechanical Engineering\Fluids Flow Analysis
Mechanical Engineering\Mechanical Design
Product Description:
FLUENT is a general purpose computer program for modeling a wide
range of fluid flow and heat transfer problems. FLUENT solves both
steady-state and transient Navier-Stokes equations using the finite
volume method using the two equation k-e model or the Algebraic Stress
Model. It predicts radiative and convective heat transfer, isothermal
flows or flows with heat transfer, reactive flows with chemical species,
gases laden with dispersed phase, droplets (with or without evaporation
and/or combustion), flow through porous media, and buoyancy driven
flows. The easy to use menu-driven interface permits uniform and
non-uniform grid generation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
FORMDRAFT
BOR441660000 VAR
Borgware, Inc.
7550 Lucerne Dr. #302
Middleburg Heights, OH 44150
Fax (216) 891-0802
Industry:
Mechanical Engineering\Mechanical Design
Mfg / Ind Specific Solutions\Machinery Manufacturing
Mfg / Ind Specific Solutions\Rubber/Plastics Manufacturing
Product Description:
"FORMDRAFT" is a mold design software bundle which is integrated
with Hewlett Packard's M310/30 solutions. The modules included are
designed to benefit the mold designer with such tasks as cooling
channels, ejector pins, gates, O-rings, springs, fasteners,
coordinate/ordinate dimensioning, and many other design and construction
tasks. The modules are integrated together with the CAD Manager as a
means of providing a common user interface. Additional graphic tablet
functions and utilities are also included.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
FORMLIST
BOR441660000 VAR
Borgware, Inc.
7550 Lucerne Dr. #302
Middleburg Heights, OH 44150
Fax (216) 891-0802
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Process\Bill of Materials
Mfg/Discrete\Bill of Materials
Product Description:
"NORMLIST" is a product used to expedite the generation of a parts
list. Since the program is fully integrated with the HP ME10/30 CAD
software, it can be called up at anytime and used interactively within
the CAD program. This means that a parts lists can be set up parallel
with the design process. NORMLIST works from the ME-CAD menu system.
Structure of the form used by NORMLIST to display the Bill of Material
or Parts List information can be customized. Size, placement, layout,
data presentation and the form sheet can be altered to meet your
specific needs. B.O.M. information can be entered as the parts are
chosen or predefined information can be passed from your stored parts to
the B.O.M. with little or no keyboard entry. This information can be
stored with your own parts and also is available from the HASCO digital
parts catalog and other standard element modules from Borgware. Changes
and or edits can be made to a specific parts list indefinitely.
NORMLIST data files can be edited, printed or transferred to other
programs for use in other areas of your business.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX PASCAL
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
FarbCAD
FAR611500100 DAR-TS (TOP SELLERS)
Farb Systems Inc.
129 S Phelps Ave.
#902
Rockford, IL 61108
FAX (815) 394-1370
Industry:
Mechanical Engineering\Mechanical Design
Architectural/Structural Eng.\Drafting
Mfg/Support\Computer-Aided Manufacturing
Product Description:
FarbCAD has 2D and 3D features which are fully integrated and
allows you to change from a 2D drawing to a 3D drawing without
limitations. And designing in 3D is so intuitive that you feel as
though you are drawing in 2D.
FarbCAD also provides powerful and flexible dimensioning
capabilities, including automatic dimensioning. For example with the
"Enter Dimension" feature, you simply change the dimension value, and
your part's size will automatically be modified; no need to move, trim,
extend, or stretch lines.
In addition FarbCAD includes: the ability to build Component or
Symbol Libraries, a Bill of Materials generator, Macro Features, a DXF
Translator, a Data Transfer to Numerical Control systems,
Cross-hatching, Multiple Text Fonts, fast Zoom and Pan capabilities,
Multiple Viewports, and extensive Editing capabilities. Among the
editing features are Move, Copy, Stretch, Size, Rotate, Scale, Mirror,
Sweep, Extrude, Delete and Undo.
Configuration Data:
not available
Pricing Information:
$2,995-10,000
System Information:
HP VECTRA MS-DOS
HP9000/300 BASIC
HP9000/300 HP-UX
HP9000/700 BASIC
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
FarbPLOT
FAR611500100 DAR-TS (TOP SELLERS)
Farb Systems Inc.
129 S Phelps Ave.
#902
Rockford, IL 61108
FAX (815) 394-1370
Industry:
Mechanical Engineering\Mechanical Design
Architectural/Structural Eng.\Drafting
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
The FarbPLOT program allows groups of drawings to be plotted
completely unattended when used with an automatic paper feed plotter.
Plotter spooling is greatly accelerated over the SRM spooling ability
that is furnished by Hewlett-Packard. By connecting the plotter
directly to one of the workstatios, as a plot station, the program can
be set-up to either automatically continuously read and plot or manually
read and plot FarbCAD drawings from disks or directories. Other FarbCAD
users on the SRM network can schedule drawings to be plotted by copying
the drawings to the "plot file". This quick process frees the CAD
workstation during plotting.
Unlike spooling available with the SRM, FarbPLOT does not require
plotting a drawing to a file. This eliminates the wait time that occurs
when doing so.
Alternatively this program can be used in a non-SRM configuration.
By defining the "plot directory" as a disk device rather than an SRM
directory, it is possible to automatically plot the contents of the disk
with all of the smae program features available.
Configuration Data:
not available
Pricing Information:
$5000
System Information:
HP9000/300 BASIC
HP9000/700 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Finite Element Modeler (FEM)
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Nodal Analysis
Product Description:
FEM creates nodes and elements interactively from the Series 7000
design database. Eleven element types from a simple 2-node beam to a
32-node solid, are available. Automatic mesh techniques can be used to
mesh component geometries, such as curve fill, surface fill and volume
fill. Loads, constraints, and element and material properties can also
be added to the finite element model. Once defined, the mesh geometry
can be locally refined or completely edited. An output file can then be
created which is suitable for input to several fine element and analysis
programs including ANSYS, MSC/Nastran, PDA/PATRAN-G, MARC and SLUPERTAB.
Prerequisites: Series 7000 Graphics Software Customer- provided
finite element analysis program
Recommended: To utilize the surface meshsing capabilities: Surface
Modeling
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Finite Elment Model Builder (FEMB)
ETE480660000 DAR
Engineering Technology Associates, Inc.
1100 E. Mandoline #B
Madison Heights, MI 48071
Wing Lee (313) 588-9010
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Nodal Analysis
Product Description:
FEMB is a pre- and post-processor for creating and interpreting
finite element models. Widely accepted throughout the U.S. automotive
industry, FEMB was developed for user convenience. Popular FEMB
advantages include:
* easy to learn (training time approximately 4 hours)
* fast graphic interface (30,000 element model plots in a second)
* minimal storage space (requires 10 times less space than other
popular codes)
FEMB also offers special features such as 3-D rotation, dynamic
real time animation, the ability to interface with all popular CAD
systems as well as compatability with such popular analysis codes as
NASTRAN, ANSYS, ABAQUS, CAL3D. DYNA3D and Moldflow. FEMB is available
for lease or purchase on most computer platforms.
Configuration Data:
not available
Pricing Information:
$3,500 lease price/negotiable
System Information:
HP9000/700 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Flowmaster
FDI602500100 ISV
Fluid Dynamics International
500 Davis St. #600
Evanston, IL 60201
Babak Kusha (312) 491-0200
Industry:
Mechanical Engineering\Fluids Flow Analysis
Product Description:
FLOWMASTER is a general purpose program for the simulation of flow
in pipe networks and hydraulic systems under steady state and transient
conditions. Flowmaster features analysis modules for:
* Single Phase Incompressible Flow
* Fluid Power
* Single Phase Compressible Flow
FLOWMASTER is used by Engineers to predict the behavior of complex
systems to assist in troubleshooting existing systems, designing new
systems, and to evaluate proposed alternatives over a wide range of
operating conditions.
FLOWMASTER features a highly-graphical, point and click user
interface which is used to construct networks and plot analysis results.
FLOWMASTER is also supplied with a comprehensive database of loss
coefficients for junctions, values, orifices, etc.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
GMS
UCC803660000 ISV
Graftek
6260 Lookout Rd.
Boulder City, CO 80301-3336
FAX (303) 449-4310
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Aero/Defense/Govt Contract\Computer-Aided Manufacturing
Mfg/Discrete\Computer-Aided Manufacturing
Product Description:
Design - GMS is a complete, robust computer aided design and
drafting system for mechanical components and assemblies. It is the
nucleus of the Graftek totally integrated CAD/CAM/CAE software solution
for mechanical design, analysis, N/C Machining, and Plastic Injections
Molding applications. Its associative 2-D or 3-D database provides
design continuity from concept through manufacturing, with design
geometry defined only once for any sequence of applications.
Plastics - Graftek provides a comprehensive plastics design system,
simulating the plastic injection molding process. These products enable
the user to optimize both part and mold design. Graftek's integrated
solution includes finite element modeling, highly accurate mold filling,
packing, and cooling analyses for complex part geometry, and an
easy-to-use graphics program for complete 2-D/3-D mold design.
Numerical Control - N/C is a totally integrated solution for
manufacturing. Working with the design created in GMS, the user can
interactively develop a program for the N/C machine tool. The
capabilities and functionality of the software give the user the power
to create, edit, and manipulate the part program to obtain the optimum
results. The N/C package provides the tools necessary for the user to
produce all the associated support documentation for the manufacturing
operation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
GOTHIC
NAP993500100 ISV
Numerical Applications, Inc.
825 Goethals Dr. #A
Richland, WA 99352
Thomas L. George (509) 943-0861
Industry:
Mechanical Engineering\Fluids Flow Analysis
Architectural/Structural Eng.\Design/Simulation/Analysis
Mfg/Support\Special Applications
Product Description:
Finite volume program for transient analysis of three- dimensional
flow and heat transfer.
GOTHIC is a finite volume program that is used to model the
transient thermal-hydraulic behavior of multiphase systems in complex
geometries. A graphics based interactive preprocessor simplifies
problem setup and modification through the use of modeling diagrams,
tables and data libraries. Previously saved problems can be retrieved,
modified and rerun, providing new results in graphical form, all within
GOTHIC.
Listed below are some of the modeling capabilities:
Three separate flow fields - Continuous liquid, Steam and
noncondensable gas mixture, drops, compressible flow, phase change,
conduction heat transfer in solids, solid to fluid heat transfer -
special purpose models to simulate - pumps - valves - heat exchanges,
sprayers.
Problems can be run using either a lumped parameter approach or a
three dimensional approach. Local detail flow analysis can be obtained
by using a three dimensional mesh within a lumped parameter mesh. Some
of the possible applications of GOTHIC include: Pressure vessel blowdown
- transport and diffusion of gases, condensation and vaporization -
safety analysis - ventilation systems - spray cooling.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
HP ME30 Modeling Design & Drafting Sys.
HPM805400000 Premier ISV
Hewlett-Packard - Mechanical Design Div.
3404 E. Harmony Rd.
Fort Collins,
Germany 80525-9599
FAX (303) 229-6501
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Solids Modeling
Product Description:
HP ME30 is an integrated modeling, design and drafting system. It
offers an intuitive approach to solid modeling and provides a smooth
progression from 2D design to 3D modeling.
Solid models represent actual objects. They enable realistic,
unambiguous design and viewing of products throughout the design phase.
HP ME30 solid models are based on a robust modeler which assures that
the models are complete and accurate. They are used in a variety of
design cycle applications:
* Visual evaluation of overall design and of individual part
relationships
* Reduction of lengthy physical protoyping cycles
* Geometric and physical analysis of solid parts and assemblies
* Generation of complete 2D documentation, including section view
HP ME30 provides an opportunity to design efficiently in 3D. Its
user interface is logical and easy to learn. The combination of tablet
and screen menus and the use of technology and terminology familiar to
mechanical engineers offers easy access to system functions.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Proprietary
------------------------- Start of Article -----------------------------
Mechanical Engineering
HP PE/ME10
HPM805400000 Premier ISV
Hewlett-Packard - Mechanical Design Div.
3404 E. Harmony Rd.
Fort Collins,
Germany 80525-9599
FAX (303) 229-6501
Industry:
Mechanical Engineering\Mechanical Design
Product Description:
HP PE/ME1O a versatile 2D design and drafting system for optimizing
each step of the design process. It offers sophisticated tools for
creative initial design, fast modifications and parametric design. It
also features a comprehensive set of commands to simplify drafting and
documentation tasks.
The power of HP PE/ME1O is based on its advanced internal data
structure which results in unambiguous and precise drawings. The system
is accessed through a friendly and easy-to-learn user interface which
uses a combination of tablet and screen menus. These have been designed
to suit drafters, designers, mechanical engineers and technical
illustrators.
HP PE/ME10 the solution to improve productivity in departments
performing mechanical design, drafting and documentation tasks.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Proprietary
------------------------- Start of Article -----------------------------
Mechanical Engineering
HP Precision Engineering/SolidDesigner
HPM805400000 Premier ISV
Hewlett-Packard - Mechanical Design Div.
3404 E. Harmony Rd.
Fort Collins,
Germany 80525-9599
FAX (303) 229-6501
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Solids Modeling
Product Description:
HP Precision Engineering/SolidDesigner is a high performance 3D
design system with a user interface tuned for engineering productivity.
A robust solid modeler, written in object oriented language C++ and
based on ACIS(tm) technology, is the foundation for a consistent,
accurate and precise product model description providing design
certainty at any time. The system capabilities enable the designer to
maximizre productivity fromt he conceptual design to the fully detailed
and documented product model. Standarized OSF/Motif user interface
components combined with an optimized user interaction concept, assures,
instant productivity for beginners as well as maximum throughput for
professional users.
HP PE/SolidDesigner provides a labeling concept for the attachment
of complete design intent information. 2D technical drawings from the
design model can be easily created using the automated and fully
associative layout process. The 2D layout is automatically updated
after a model change.
Solid imaging and dynamic rendering techniques can be applied
enabling the desinger to continuously view the model during creation.
Models are visually realistic and fully comprehendable.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Proprietary
------------------------- Start of Article -----------------------------
Mechanical Engineering
HyperMesh
ACO480660000 ISV
Altair Computing, Inc.
3150 Livernois #270
Troy, MI 48083
FAX (313) 680-8815
Industry:
Mechanical Engineering\Finite Element Analysis
Product Description:
HyperMesh is a high-performance Finite Element pre- and post-
processor, written in ANSI C and optimized for UNIX stations. The
objective of the product is to accelerate the finite element process.
Users will experience significant gains in productivity using HyperMesh
relative to other commercial codes.
HyperMesh features include:
* lightning fast plotting
* intuitive, mouse-driven user interface
* superior performance with even very large models
* advanced element editing features
* support for many input formats (IGES, Ford PDGS, GM CGS, and
more)
* interface to several FEA codes (Nastran, Abaqus, Ansys, Dyna3D,
etc.)
* X-Windows or native mode support
* advanced post-processing capabilities
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
I-DEAS
SMC194660000 DAR-TS (TOP SELLERS)
Software Marketing Consultants
650 Sentry Park #1
BlueBell, PA 19446
Benjamin L. Mariono (215) 941-2158
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Fluids Flow Analysis
Mechanical Engineering\Mechanical Design
Product Description:
I-DEAS is a complete Mechanical Computer-Aided Engineering (MCAE)
System for the engineering department. It provides full function
design, analysis, drafting, testing, and NC programming in support of
mechanical design automation.
I-DEAS allows design engineers to realistically simulate a
product's performance in the initial design stage, and then optimize
both product performance and manufacturability. I-DEAS is comprised of
a series of integrated modules based on solid geometry, with a common
data base and user interface.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
I-DEAS Applications for Design
SDR451500100 Premier ISV
Structural Dynamics Research Corp.
2000 Eastman Dr.
Milford, OH 45150-2789
FAX (513) 576-2135
Industry:
Mechanical Engineering\Mechanical Design
Data Management\Database Management Systems
Mechanical Engineering\Solids Modeling
Product Description:
I-DEAS Design (TM) products provide the world's leading tool for
solids-based design of mechanical parts and products. The foundation of
all of I-DEAS is the I-DEAS Master Modeler, a variational design system
that offers users a wide variety of capabilities for real-time,
integrated variational sketching. It seamlessly blends wireframe,
surface, and solid modeling methods into one unified design environment,
based on fully precise, double precision, non-uniform rational B spline
9NURBS) geometry. A unique sketch-in-place feature allows design
engineers to sketch directly on a solid model. The Dynamic Navigator
locates significant geometry locations, such as midpoints of a pocket or
center points of a hole, and context-sensitive predictive cursor
highlights design relationships (tangency, parallelism and other
conditions). A shape-then-size capability allows uses to quickly model
design concepts into I-DEAS using approximate, rather than exact,
geometry. his enables design engineers to capture their ideas
immediately and specify dimensions, constraints and other design
information later. As a result, users are able to pursue innovative
design concepts quickly and share them with other members of the
development team.
I-DEAS Applications for Design: I-DEAS Master Modeler (TM) -
I-DEAS Master Surfacing (TM) - I-DEAS Sheet Metal Design (TM) - I-DEAS
Master Assembly (TM) - I-DEAS Mold Base Catalog (TM) - I-DEAS Team Data
Manager (TM) - Physical Cable (TM) - I-DEAS View and Markup (TM) -
I-DEAS Drafting (TM) - I-DEAS Mechanism Design (TM) - I-DEAS Rapid
Prototyping Translator (TM) - I-DEAS Tolerance Analysis (TM) - I-DEAS
Drafting Symbols Catalog (TM).
Configuration Data:
not available
Pricing Information:
available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
I-DEAS Applications for Manufacturing
SDR451500100 Premier ISV
Structural Dynamics Research Corp.
2000 Eastman Dr.
Milford, OH 45150-2789
FAX (513) 576-2135
Industry:
Mechanical Engineering\Mechanical Design
Data Management\Database Management Systems
Product Description:
I-DEAS (TM) manufacturing software products provide users with
solids-based, rules-driven machining tools, which allow users to address
the complete machining environment to generate tool paths, avoid gouging
the part or cooliding with clamps and fixtures, maintain tolorances,
optimize motion and minimize tool wear.
I-DEAS Generative Machining (TM) software provides unprecedented
tools for capturing the manufacturing planning, tooling, and NC
programming tasks in a single, unified system. Using
electronically-stored knowledge (or rules), as well as the experiences
of your NC programmers and machinists, I-DEAS intelligently generate
tool motion and machine instructions. Becuase it encompasses the
complete machining environment (part,stock, clamps, fixtures, and
machine), it generates tool paths that avoid gouging the parts or
colliding with clamps and fixtures, maintains tolerances, optimizes
motion, and minimizes tool wear. Continuously evolving stock models
facilitate communication and verification by depicting the state of the
stock after each machining operation. Imbedded system knowledge
seamlessly updates NC programs to account for design changes.
I-DEAS Applications for Manufacturing: I-DEAS Generative Machining
(TM) - I-DEAS Wire EDM (TM) - I-DEAS GNC (TM) - I-DEAS G-Post (TM) -
I-DEAS GNC Multi-Axis (TM) - I-DEAS Post Writer (TM).
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
I-DEAS Applications for Plastics
SDR451500100 Premier ISV
Structural Dynamics Research Corp.
2000 Eastman Dr.
Milford, OH 45150-2789
FAX (513) 576-2135
Industry:
Mechanical Engineering\Mechanical Design
Data Management\Database Management Systems
Mechanical Engineering\Finite Element Analysis
Product Description:
I-DEAS plastics simulation software products allow manufacturers to
significantly improve the quality of their plastic parts and components.
A single coupled simulation for filling, cooling and warpage helps users
achieve increased accuracy by evaluating the interrelated effects of all
three simulations. Integrated solvers account for unbalanced thermal
effects of the mold while simulating the flow of melted plastic in the
mold. Since mold temperatures and heat transfer strongly influence flow
behavior, the coupling of thermal and flow into an simultaneous
iterative solution provides unparalleled accuracy. Not only are users
assured of complete fill, but they are also able to focus attention on
improved part quality by optimally locating welds. This minimizes
material degradation and controls undersirable stresses that may cause
unacceptable discoloration, structural degradation, part deformation and
warp.
By allowing uses to specify the mold and process, they are assured
of more accurate results. This allows complete consideration of hot and
cold runners, slides, mold insulation, baffles, bubblers, inserts, pump
profile, parting surfaces, quick disconnects, programmed injection, etc.
A thermoset cure simulation adds reactive (exothermic) considerations to
I-DEAS' previous suite of thermoplastic simulation capabilities. Such
considerations are important for processors fo rubber products,
elastomers and thermoset (high temp) materials.
I-DEAS Applications for Plastics - I-DEAS Mold Filling (TM) -
I-DEAS Weld Locator (TM) - I-DEAS Mold Cooling (TM) - I-DEAS Thermoset
Molding (TM) - I-DEAS Warp and Shrink (TM).
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
I-DEAS Applications for Plastics (TM)
SDR451500100 Premier ISV
Structural Dynamics Research Corp.
2000 Eastman Dr.
Milford, OH 45150-2789
FAX (513) 576-2135
Industry:
Mechanical Engineering\Mechanical Design
Data Management\Database Management Systems
Mechanical Engineering\Finite Element Analysis
Product Description:
I-DEAS plastics simulation software products allow manufacturers to
significantly improve the quality of their plastic parts and components.
A single coupled simulation for filling, cooling and warpage helps
achieve increased accuracy by evaluating the interrelated effects of all
three simulations. Integrated solvers account for unbalanced thermal
effects of the mold while simulating the flow of melted plastic in the
mold. Since mold temperatures and heat transfer strongly influence flow
behavior, the coupling of thermal and flow into an simultaneous
iterative solution provides unparalleled accuracy. Not only are users
assured of complete fill, but they are also able to focus attention on
improved part quality by optimally locating welds. This minimizes
material degradation and controls undersirable stresses that may cause
unacceptable discoloration structural degradation, part deformation and
warp.
By allowing users to specify the mold and process, they are assured
of more accurate results. This allows complete consideration of hot and
cold runners, slides, mold insulation, baffles, bubblers, inserts, pump
profile, parting surfaces, quick disconnects, programmed injection, etc.
A Thermoset cure simulation adds reactive (exothermic) considerations to
I-DEAS' precious suite of thermoplastic simulation capabilities. Such
considerations are important for processors of rubber products,
elastomers and thermoset (high temp) materials.
I-DEAS Applications for Plastics: I-DEAS Mold Filling (TM) - I-DEAS
Weld Locator (TM) - I-DEAS Mold Cooling (TM) - I-DEAS Thermoset Molding
(TM) - I-DEAS Warp and Shrink (TM).
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
I-DEAS Applications for Project
SDR451500100 Premier ISV
Structural Dynamics Research Corp.
2000 Eastman Dr.
Milford, OH 45150-2789
FAX (513) 576-2135
Industry:
Mechanical Engineering\Mechanical Design
Data Management\Database Management Systems
Product Description:
The I-DEAS Master Series (TM) promotes team-oriented design, the
practical foundation of concurrent engineering. The basis for this
approach is an integrated data management system that tracks information
on parts, assemblies, drawings, material data, analysis data, NC jobs
and other product information. It manages attributes such as part
number, version and revision, in addition to application- specific
attributes and user-defined attributes. Libraries and catalogs provide
a seamless facility for sharing common data among project teams.
I-DEAS Team Data manager (TM) software, the control center of this
team-oriented approach, provides facilities for project configuration,
active E-mail notification of design changes, definition and query of
design states, definition and control of data access privileges and file
attachment for tracking engineering change order information. It also
provides a mechanism for the project manager to assign people to work on
a part or to identify which member of the design team is working on
specific parts. This allows the manager to configure project teams,
actively notify users of design changes made by others, define and query
design states and incorporate engineering change orders.
Data management and Control System (DMCS (TM)) is an integrated
software tool developed to assist you in quickly and efficiently
imporving your product development process. By linking management,
design, and manufacturing through a common database of product and
process-related information, DMCS facilitates communication and
accelereates coordination of tasks. This creates an environment in
which concurrent engineering and total quality management practices can
succeed.
I-DEAS Applications for Project Management: I-DEAS Team Data
manager - I-DEAS Publishing package (TM) - DMCS.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
I-DEAS Applications for Test
SDR451500100 Premier ISV
Structural Dynamics Research Corp.
2000 Eastman Dr.
Milford, OH 45150-2789
FAX (513) 576-2135
Industry:
Mechanical Engineering\Mechanical Design
Data Management\Database Management Systems
Mechanical Engineering\Finite Element Analysis
Product Description:
I-DEAS (TM) test software products provide an integrated set of
tools and features enabling testing to play a more strategic and
cost-effective role in the mechanical product development process,
Their integration and data management capabilities, combined with
significantly enhanced ease-of-use, facilitate more effective
communication of test results. I-DEAS test products employ integrated
data management capabilities, which help users manage the enormous
amount of data collected in a typical test lab and permits them to
easily set up test databases to control, search and retrieve data
according to their needs. By making data more accessible, team members
are able to make test results into consideration when they modify
product designs and perform analysis, ultimately resulting in better
products.
Beyond making test data accessible, the I-DEAS Master Series also
makes it easy to interpret. The user interface and graphic display
capability make test data results easier to graph, display, understand
and communicate.
I-DEAS Applications for Test: I-DEAS Signal Processing Base (RM) -
I-DEAS Modal Analysis Automation (TM) - I-DEAS Standard Post Processing
(TM) - I-DEAS Correlation (TM) - I-DEAS Advanced Post Processing -
I-DEAS Test Analysis Interconnect Kit (TM) - I-DEAS Harmonic Post
Processing - I-DEAS Test Data Display (TM) - I-DEAS Standard
Measurements (TM) - I-DEAS Structural Modification (TM) - I-DEAS Order
Tracking (TM) - I-DEAS Fatigue (TM) - I-DEAS Modal (TM).
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
I-DEAS Master Series (TM)
SDR451500100 Premier ISV
Structural Dynamics Research Corp.
2000 Eastman Dr.
Milford, OH 45150-2789
FAX (513) 576-2135
Industry:
Mechanical Engineering\Mechanical Design
Data Management\Database Management Systems
Product Description:
I-DEAS Master Series (TM), from SDRC(R), is the leading software
solution for Mechanical Design Automation. This suite of fully
integrated design, engineering, analysis, and manufacturing products
provides manufacturers whith unequaled functionality for users without
compromising the integration required to implement a concurrent approach
to product development. Users of I-DEAS can depend on unmatched
reliability and performance resulting in faster time to market, improved
quality, and reduced costs. The I-DEAS Master Series provides
unparalled ease-of-use, a unified geometry foundation, intelligence.
and provisions for team-oriented design. A streamlined command
structure allows the user to execute virtually all system commands with
a single "click and drag" of the mouse. A dynamic icon palette
automatically reconfigures inself to present the most frequently used
tools. Taken together, all of these unique functions enhance the
productivity of experienced users and help novices to learn quickly.
I-DEAS Master Series products provide a comprehensive solution that
addresses design engineers' complete requirements for mechanical product
design in a concurrent engineering environment.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
I-DEAS Open Architecture Applications
SDR451500100 Premier ISV
Structural Dynamics Research Corp.
2000 Eastman Dr.
Milford, OH 45150-2789
FAX (513) 576-2135
Industry:
Mechanical Engineering\Mechanical Design
Data Management\Database Management Systems
Mechanical Engineering\Finite Element Analysis
Product Description:
I-DEAS Open Architecture (TM) allows I-DEAS to exhange data with
commercial packages and user applications in electronics, mechanical
design, analysis, testing, machining, rapid prototyping and other
functions. Wireframe, surface, and solid geometry can be directly
imported and exported to and from I-DEAS. This geometry can be used
"as-is", or modified and used with all I-DEAS applications.
The I-DEAS Master Series allow external applications to be "live
linked" top an I-DEAS user session and directly access in master model
via the new I-DEAS Open Data (TM) and I-DEAS Open Link (TM) products.
By providing direct access to information in the master model through an
application programming interface, I-DEAS serves as the core technolgy
underlying the entire concurrent engineering process. I-DEAS is
ideally-suited for environments in which engineering data is distributed
over a network of heterogeneous computer systems.
I-DEAS Open Architecture Applications: I-DEAS Open Link (TM) -
I-DEAS Data Translator CATIA to/from I-DEAS (TM) - I-DEAS Open Data (TM)
- I-DEAS Data Translator CADAM to/from I-DEAS (TM) - I-DEAS Solid Link
(TM) - I-DEAS Data Translator ABAQUS to/from I-DEAS (TM) - I-DEAS 3D
IGES Data Translator (TM) - I-DEAS Data Translator ANSYS to/from I-DEAS
(TM) - I-DEAS 2D Drafting IGES Data Translator (TM)- I-DEAS Data
Translator Cosmic NASTRAN to/from I-DEAS (TM) - I-DEAS PCB Data
Translator (TM) - I-DEAS VDA -FS Data Translator (TM) - I-DEAS Data
Translator MSC NASATRAN to/ from I-DEAS (TM) - I-DEAS SET Data
Translator (TM) - I-DEAS Rapid Prototyping Data Translator (TM) - I-DEAS
Data Reader MSC/NASTRAN (TM) - I-DEAS DXF Data Translator (TM).
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
IDARS
CRE037660000 Complimentary Vendor
Creare Inc.
P.O. Box 71, Etna Rd.
Hanover, NH 03755
Fax (603) 643-4657
Industry:
Mechanical Engineering\Mechanical System Analysis
Computer-Aided Test/Lab Auto.\Lab Data Acquisition & Control
Product Description:
IDARS computer software is designed to meet the exacting
requirements of scientists and engineers who require acquisition,
analysis, signal processing, and display of large volumes of data at a
wide range of sampling rates. IDARS software with your computer
hardware provides a totally integrated test management system. With
IDARS and Creare's integration services you: eliminate risk, reduce
costs, and increase confidence in your results.
Features of IDARS:
* Compatible with the Creare Scanalyzer data acquisition software.
* Data analysis functions include spectral analysis functions, FIR
filtering, arithmetic operations, and statistics.
* Issue IDARS commands through the menu. No computer programming
is required; expert users can bypass the menu if desired.
* A sophisticated file management system permits individual users
to track all files and operations.
* IDARS includes graphic display software - you have a wide range
of choices for graphic display formats and devices.
* IDARS is a multi-user system - while a test technician is
acquiring data, you can be analyzing data.
* Analog tape control and time decoding from the terminal console
is an option.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
INCA
AEN980660000 ISV
Amtec Engineering, Inc.
PO Box 3633
Bellevue, WA 98009-3633
FAX (206) 827-3989
Industry:
Mechanical Engineering\Fluids Flow Analysis
Aerospace\Aeronautical Engineering
Mechanical Engineering\Thermal Analysis
Product Description:
INCA is a state-of-the-art flow simulation software package valid
for a wide range of 2D and 3D compressible viscous flow fields; for
example, transonic airfoils, choked nozzles, hypersonic high altitude
vehicles, aircraft inlets/diffusers, automotive intakes/manifolds, and
reacting supersonic combustors. INCA code solves the full 3D
compressible Navier=Stokes equations with turbulence and chemistry model
options. An advanced implicit solution procedure is used to solve these
equations on multi-block, body-fitted, finite-volume, computational
grids. Subsonic, transonic, supersonic, and hypersonic viscous flows
can be calculated for complex geometries. The compuational domain is
divided into one or more coupled grid blocks to simplfy the generation
of the computational grid and the application of boundary conditions. A
variety of flexible boundary condition types, equation-of-state options,
and chemistry models makes INCA applicable to most flow fields of
interest.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
INERTIA
MAE462660000 ISV
Modern Computer Aided Engineering Inc.
8425 Woodfield Crossing Blvd.
#221
Indianapolis, IN 46240
John Bodman 1 (800) 444-MCAE
Industry:
Mechanical Engineering\Finite Element Analysis
Architectural/Structural Eng.\Design/Simulation/Analysis
Mechanical Engineering\Mechanical System Analysis
Product Description:
INERTIA contains six software packages integrated under one
multi-tasking system for seamless integration of engineering tasks. It
simulates testing and prototyping for automative, aerospace, mechanical,
structural, civil, and architectural engineers. An engineer graphically
builds a model in one module like InSolid (for Finite Element Analysis),
INERTIA automatically transfers all of the information into the
Kinematics, Dynamics, or Thermal packages for combination analysis.
INERTIA allows for multiple operations to be carried out at the same
time. Multiple modules make up the INERTIA product for the following
tasks; FEA (In-Solid), heat transfer (InThermal), Kinematics and
Assembly (In Motion), Dynamic (InDynamic) analyses and structual design
(InFrame), sectional properties and Moment of Inertia calculations
(InProp).
INTERTIA is currently the only major integrated engineering system
which has full compliance with graphical user interface guidelines of
OSF/MOTIF, Microsoft Windows 3.0, Sun Open Look, Apple Macintosh and the
Distributive Network Computing topography of the Advanced Computing
Environment Consortium (ACE). INERTIA contains over 1,000,000 lines of
Object Oriented C (OOPS) language. With an integrated database
structure at its core, INERTIA enables an engineer to share their
information both across a network and with other INERTIA modules.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
INFORMATION MANAGER
MDO630000000 Premier VAR
EDS - Unigraphics
13736 Riverport Dr.
Maryland Heights, MO 63043
Bob Brandenstein (317) 673-2950
Industry:
Mechanical Engineering\Mechanical Design
Aerospace\Military Applications
Mfg/Support\Computer-Aided Manufacturing
Product Description:
Organizations recognize that information is the lifeblood of the
product development process and that individuals need to have rapid
access to information and software tools required to perform their jobs.
Information sharing must be organized and distributed in an efficient
manner so everyone has access to the information they need. To help
manage information and process, McDonnell Douglas has entered the
product data management market with INFORMATION MANAGER. INFORMATION
MANAGER V1.O is the first part of a multi-phased strategy to provide
progressive solutions for managing product data, process and
applications; however, McDonnell Douglas is offering extended continuity
with UNIGRAPHICS. Previously, product information, such as designer's
notes, memos, specifications, requirements, etc., was never maintained
electronically. Therefore, they could not be related to other
meaningful information. The goal of INFORMATION MANAGER is to capture
and bring order to these many pieces of information by associating them
to the product structure. INFORMATION MANAGER provides assembly
modeling capability which captures the product structure early in the
design process. On-line approvals and authorizations are provided to
electronically manage the release process. INFORMATION MANAGER provides
many benefits:
* Products, assemblies and parts will be visible to the project
team throughout the product life cycle.
* Files will be associated with parts and assemblies to maintain
accessibility to the latest version.
* Parts lists will be developed more easily and electronically.
* Parts will be released electronically with on-line review and
sign-off.
* Files will be secured against unauthorized change/use.
* Files and E-Mail are conveniently sent to support team members.
* Data can be passed to a Material Requirements Planning (MRP)
system.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Intergraph's MicroStation
CCS000660000 DAR-TS (TOP SELLERS)
Sysix Technologies
8615 W. Bryn Mawr Ave. #401
Chicago, IL 60631
Fax (312) 693-3918
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Support\Facility Management
Mechanical Engineering\Solids Modeling
Product Description:
MicroStation 4.0 is an excellent 3-D Design CAD package. Designing
dynamic surfaces and creating presentation quality images are easy with
MicroStation's design tools.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
KONMODULE
BOR441660000 VAR
Borgware, Inc.
7550 Lucerne Dr. #302
Middleburg Heights, OH 44150
Fax (216) 891-0802
Industry:
Mechanical Engineering\Mechanical Design
Mfg / Ind Specific Solutions\Fabricated Metal Products Mfg
Mfg / Ind Specific Solutions\Machinery Manufacturing
Product Description:
"KONMODULE" is a set of design enhancement modules for companies
involved in mechanical and tooling design. Operation of this software
is accomplished as a module from within Hewlett Packard's ME10/30 CAD
software. Increased design production can be realized with such tasks
as ordinate/coordinate dimensioning, surface and geometric dimensioning
and firm specific part cataloging. Common part libraries such as
springs, fasterners and bearings are also available. Design and
construction modules are incorporated which preform common design
related tasks in short order. An expanded graphic tablet functions and
utilities help with a designers daily work. The CAD Manager provides an
interface which is used throughout the screen menus for a consistent
look and feel.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
LMS Acoustics
LMT481400000 VAR
LMS N. America
5455 Corporate Dr. #303
Troy, MI 48098
FAX (313) 952-1610
Industry:
Mechanical Engineering\Dynamic Analysis
Math/Statistics\Data Analysis
Mechanical Engineering\Mechanical System Analysis
Product Description:
The LMS Acoustics package takes full advantage of the multichannel
acquisition capabilities of the LMS Systems to measure sound pressure
and intensity levels of multiple microphone systems. The frequency
bands can be defined as baseband, zoom or 1/n octave. Various weighting
filters are implemented. The acoustic intensity module enables further
processing of the intensity measurements. Visualization of the
radiation patterns can be done at various RPM intervals, a scroll mode
allows visualization of subsequent radiation patterns either stepwise or
continuously. Special multivariate techniques, such as principal
component analysis and conical analysis have been inplemented for MIMO
output signal analysis. Grayscale and false color waterfalls are also
available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
LMS Fourier Monitor
LMT481400000 VAR
LMS N. America
5455 Corporate Dr. #303
Troy, MI 48098
FAX (313) 952-1610
Industry:
Mechanical Engineering\Dynamic Analysis
Math/Statistics\Data Analysis
Mechanical Engineering\Mechanical System Analysis
Product Description:
LMS Fourier Monitor is a real-time multichannel signal acquisition
and processing package that has been designed for multiple input and
multiple output testing. It is well suited for general signal
processing and includes special features for model testing.
Up to 492 channels can be acquired in parallel with a spectral
resolution (zoom or baseband) of up to 3000 lines. Display is via a
multiple window presentation allowing up to 8 windows to be updated
simultaneously. Waterfall techniques are incorporated. An optional
generation module can provide multiple stimuli, including burst random
or stepped sine. Optional ADC throughput includes high speed storage to
memory or disc. A user programming module allows advanced techniques to
be developed.
Uses all HP 3565S modules: 35650A, 35655A, 35650B, 35656A, 35754A,
35658A, 35652A/B, 35659A, and 35653A/C.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
LMS Instrument Monitor
LMT481400000 VAR
LMS N. America
5455 Corporate Dr. #303
Troy, MI 48098
FAX (313) 952-1610
Industry:
Mechanical Engineering\Dynamic Analysis
Math/Statistics\Data Analysis
Mechanical Engineering\Mechanical System Analysis
Product Description:
Two and four channel FFT analyzers typically produce a limited set
of hardwired measurement functions. LMS Instrument Monitor allows these
measurements to be entered into the LMS database providing access to the
full range of LMS display, plotting and analysis modules. The
measurements and set up are stored in the database in a fully annotated
form providing easy access and comparison with earlier results. The
data can be manipulated using the advanced signall processing
capabilities of the LMS Fourier Monitor and utilized by the application
programs such as Modal Analysis. However, LMS Instrument Monitor can
also be used to link a diverse origin of laboratory instruments in one
common database. You can link voltmeters and dataloggers to relate
acquisitions of the FFT analyzer to external events. You can even post
in data from RF Spectrum Analyzers.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
LMS Link
LMT481400000 VAR
LMS N. America
5455 Corporate Dr. #303
Troy, MI 48098
FAX (313) 952-1610
Industry:
Mechanical Engineering\Dynamic Analysis
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical System Analysis
Product Description:
LMS Link is an engineering tool which integrates analytical with
experimental analysis. It contains functional modules for data
integration, correlation, sensitivity and updating. Data integration is
based on topology of analytical and experimental systems (modes, degrees
of freedom). Mode shape animation allows visual interpretation of the
modal vectors and their differences.
Correlation analysis between experimental and analytical modal
vectors is based on Modal comparison techniques and orthogomality
analysis (stiffness and mass).
Sensitivity analysis computes the sensitivity of modal parameters
with respect to changes of structure parameters. Based on these trends
the analytical structure can be updated to match the experimental
results. Data are imported through a neutral file, allowing interface
ANSYS, MSC/Nastran and UAI/Nastran.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
LMS Modal
LMT481400000 VAR
LMS N. America
5455 Corporate Dr. #303
Troy, MI 48098
FAX (313) 952-1610
Industry:
Mechanical Engineering\Dynamic Analysis
Mechanical Engineering\Mechanical System Analysis
Product Description:
LMS Modal is the most complete modal package available on the
market.
Key features include:
* unlimited number of components with unlimited nodes
* multiple input multiple output FRF estimators
* state-of-the-art parameter estimators
* animation in multiple windows with hidden line removal
* sensitivity analysis with graphical presentation
* forced response analysis
* modification predictions
* running mode analysis
* modal model validation
* stabilization diagrams
* data porting from other vendors
* advanced plotting module with complete annotation
* mouse driven operation
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
LMS Signature
LMT481400000 VAR
LMS N. America
5455 Corporate Dr. #303
Troy, MI 48098
FAX (313) 952-1610
Industry:
Mechanical Engineering\Dynamic Analysis
Math/Statistics\Data Analysis
Mechanical Engineering\Mechanical System Analysis
Product Description:
Signature is a multichannel real-time aquisition and signal
processing package that has been optimized for the measurement the
diagnosis of rotating machinery problems. Up to 256 channels can be
acquired simultaneously with up to 3000 lines spectral real-time,
measurements being taken during run-up or rundown at predefined RPM
increments.
Display presentations include RPM spectral maps, order ratio
diagrams, order maps, summation diagrams color and grayscale (Campbell)
diagrams.
A digital order tracking feature ensures precise on-line porcessing
without loss of information. Optional ADC throughout provides for the
acquisition of high speed run-ups. Optional User Programming allows the
development of advanced techniques or to relate acquisitions to an
external parameter. Uses HP 3565S.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
LMS VIBCO
LMT481400000 VAR
LMS N. America
5455 Corporate Dr. #303
Troy, MI 48098
FAX (313) 952-1610
Industry:
Mechanical Engineering\Dynamic Analysis
Product Description:
LMS VIBCO (Vibration Control) is a package that allows multichannel
control of the vibration of a structure excited by electormagnetic or
hydraulic shakers. You can define the vibration in terms of spectral
content or overall grams value. Alarm abort and other safety features
are naturally included. Control Modes include: Random, Sine, Shock,
SRA, Random on Random, Sine and Random on Random, and Sine Dwell. LMS
VIBCO is an important package in the environmental research laboratory.
It can be used to develop reliable products by subjecting them to
realistic vibration profiles in the laboratory. Stress screening
programs developed to MIL STD 810D/E/F patterns can be incorporated.
LMS VIBCO can provide the environmental and design engineer with
the tools to link design evaluation, model analysis and correlation with
finite element packages in one unique platform. Animations of the time
DOMAIN data acquired during a test is an optional capability.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
M/VISION
PEN927500100 Premier ISV
PDA Engineering
2975 Red Hill Ave.
Costa Mesa, CA 92626-5923
FAX (714) 979-2990
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Product Description:
M/VISION coordinates the needs of key engineers who test, design,
and analyze new and existing materials. It gives all sectors of an
engineering organization the capability to access evaluated materials
data. M/VISION comes with powerful software tools for collection,
reduction, query, comparison, and audit control of material information;
and analytical interfaces for properties of all classes of materials.
The M/VISION Materials Software System includes comprehensive
electronic libraries of materials data. The Standards Package includes
electronic versions of well known materials data sources such as
MILHDBK-5(metals), MIL-HDBK-17(composites), and PMC90(advanced
composites).
The Producers Package includes materials properties, processing and
cost information from producers of metals, ceramics, composites, and
plastics worldwide. M/VISION provides detailed data, kept constantly
up-to-date, with electronic renderings of tables, graphs, and images.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
MARC Finite Element Analysis
MAR943500100 ISV
MARC Analysis Research Corporation
260 Sheridan Ave.
Palo Alto, CA 94306
FAX (415) 323-5892
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Thermal Analysis
Product Description:
MARC is a general-purpose finite element program for both
linear/nonlinear structural mechanics and thermal analyses. The program
is widely recognized for its application to large strain and large
displacement problems such metal forming, creep, buckling, and contact.
It is also valuable in analyzing incompressible material behavior
such as tires and rubber engine mounts. MARC's flexibility is derived
from the range of solutions and freedom in choices of routines from four
independent program libraries. Some key features in each include:
Procedure Library Highlights: Arbitrary loading of axisymmetric
solids and shells - Multi-level substructuring - Automatic load
incrementation for static, dynamic, thermal, creep and post-buckling
response - Fracture mechanics, including evaluation of the J-integral
and micro structure cracking - Adaptive time step algorithm - Heat
transfer, including convective and radiative boundary conditions and
latent heat and phase changes - Coupled heat transfer and stress
analyses - Automated 2D/3D contact and metal forming analyses.
Element Library Highlights: Friction gap link - Axixymetric shells
- 6 and 8-node semi-infinite elements - 4-node generalized plane strain
with or without constant dilatation - 8-node axisymmetric solid with
arbitrary loading - 4-node linear curved shell - Incompressible elements
(wide variety) - Heat conduction (fully compatible with stress
elements).
Material Library Highlights: Incompressible and nearly
incompressible - Temperature dependent plasticity models - Finite strain
plasticity with updated Lagrangian technique - Generalized constitutive
relations - Viscoelastic behavior.
Function Library Highlights: Mesh generation - User subroutines -
Kinematic constraints and MPC's - Mechanical and thermal loads -
Rezoning - In or out-of-core - Selective printing options.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
MECHANICA
RAS951660000 Premier ISV
Rasna Corporation
2590 N. First St. #200
San Jose, CA 95131
FAX (408) 922-7256
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical System Analysis
Mechanical Engineering\Thermal Analysis
Product Description:
Rasna's MECHANICA family of software products enable mechanical
engineers to test and optimize the performance of their structural and
mechanism designs in an affordable, easy to use environment.
The MECHANICA product family includes: Applied Structure, Applied
Thermal and Applied Motion. All products integrate with the leading CAD
and analysis packages, and provide full geometric associativity working
directly with existing computer generated designs.
Rasna products are complimentary to the users' existing and
analysis tools and are available in both the UNIX and DOS computer
environments. Applied Structure - provides the mechanical engineer with
innovative solution techniques for structural modeling, analysis and
optimization. The software allows the user to perform linear statics,
modal, dynamic time history response and dynamic frequency response
analyses. Applied Structure incorporates Geometric Element Analysis,
allowing models to be created, changed and optimized quickly and
intuitively.
Applied Thermal - provides the mechanical engineer with complete 2D
or 3D heat transfer analysis to evaluate combined thermal-mechanical
design performance. Applied Thermal works in close tandem with Applied
Structure allowing the user to integrate thermal and structural design
applications.
Applied Motion - provides the mechanical engineer with the most
advanced and efficient mechanism analysis tool for performing complete
3D static, kinemataic, dynamic or inverse dynamic analyses. Parametric
modeling and design sensitivity go far beyond traditional analysis tools
to provide significant performance increases in archieving the optimal
design solution.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
MECHVU ME-10 View/Plot Utility
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Mechanical Engineering\Mechanical Design
Product Description:
MECHVU is a utility that enables a designer to view and plot an
ME-10 drawing. It also acts as the engine for a family of translator
modules. MECHVU views the MI database directly and enables the user to
measure critical dimensions, identify entities and set layer colors.
Plotter drivers include: Postscript, HPGL, HPGL2 and PCL4.
MECHVU also acts as a front end for a variety of translators.
Available translation modules include:
* I to/from Gerber
* MI to/from DXF
* MI to/from IGES
* MI to/from Calma GDSII stream
* MECHVU is available with a floating license on UNIX platforms
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
MEDUSA
CTEL4B660000 DAR
Conceptual Technologies Inc.
45 Vogeu Rd. #700
Richmond Hill, Ontario
Canada L4B 3P6
FAX (416) 770-9066
Industry:
Mechanical Engineering\Mechanical Design
Mfg / Ind Specific Solutions\Fabricated Metal Products Mfg
Mfg / Ind Specific Solutions\Machinery Manufacturing
Product Description:
MEDUSA design/drafting sofrware is characterized by the "electronic
drawing board" approach to drafting complemented by 3D design modeling,
2D parametrics, an integrated database, and an extensive variety of
applications including:
Sheet Metal Design - CV DESIGN (Interactive 3D parametric modeler)
- Sheet Metal Manufacturing - MEDUSA Raster Products - GNC Plus
(Graphical Numerical Control).
MEDUSA software solution is used by companies is discrete
manufacturing, architectural, engineering, construction, and facricated
metals. It is especially productive in industries that manufacture
large assemblies for individual components, such as mechanical
machinery.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
MEDUSA (2D Design)
CAL921660000 VAR
Computervision Corporation
100 Crosby Dr.
Bedford, MA 01730
Al Hopkins (617) 275-1800
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Mechanical System Analysis
Mechanical Engineering\Solids Modeling
Product Description:
MEDUSA (2D Design) is a 2-D design and drafting system for creating
and modifying engineering drawings in a production design office
environment. With 2D Design, users can access customizations of the
MEDUSA Database (MDB) which includes, as standard, the GNC Interface.
2D Design provides a full complement of tools for 2-D design
drafting, dimensioning, and drawing annotation. It is a prerequisite
for all other modules. 2D Design holds drafted information in a logical
structure, which makes the data suitable for extracting parts lists,
circuit and wiring connectivity lists, or P&ID schedules.
With MEDUSA's open customization environment, users can configure
the system at a company, project, or user level. Customization ranges
from a simple symbol library to user command extensions implemented
through a FORTRAN interface (DAR).
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
MEDUSA (3D Design)
CAL921660000 VAR
Computervision Corporation
100 Crosby Dr.
Bedford, MA 01730
Al Hopkins (617) 275-1800
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Mechanical System Analysis
Mechanical Engineering\Solids Modeling
Product Description:
MEDUSA (3D Design) generates 3-D solid models from 2-D drawings.
MEDUSA 2D Design is used to define views which are then transformed into
3-D objects. This technique naturally follows the way a designer
interprets 3-D shapes using 2-D projections. Individual objects defined
in this manner can be combined into completed models through Boolean
operations.
Object generators supported include profile with depth (sweep),
volume of revolution, ruled surfaces, profile swept along center-line,
pipes, ducts, and doubly curved mesh solids. Viewing facilities include
hidden line removal, sectional, orthographic, and perspective views.
Views can be projected back into a MEDUSA drawing for dimensioning and
annotation.
A model shrinker is also included in this module. It
proportionately shrinks the objects of a solid model about the center of
gravity by a specified factor.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
MENTAT Interactive Graphics
MAR943500100 ISV
MARC Analysis Research Corporation
260 Sheridan Ave.
Palo Alto, CA 94306
FAX (415) 323-5892
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Thermal Analysis
Product Description:
MENTAT is a general purpose interactive pre- and post-processor for
MARC, NASTRAN, and other finite element analysis programs. The program
makes extensive use of the latest computer graphic developments such as
X-Windows, pop-up menus, 3-D hardware rotation, and light-source
shading. Interfaces are available for MSC/NASTRAN, SDRC I-DEAS, and
IGES.
Pre-processing capabilities include automatic 2-D boundary, mesh
generation, 2-D and 3-D isoparametric mesh generation, editing,
specification of material properties, application of loads and boundary
conditions and formatting of the input for a specific analysis program.
Post-processing functions enable the user to display the stresses,
strains, deformations, temperatures or other results of the analysis.
MENTAT's powerful capabilities are access via menus or English-like
commands that prompt the user when additional information is needed.
Pre-Processing: Several types of loads may be applied to a
user-defined set of elements or an element-by-element basis including:
Body Forces (centrifugal, gravity), Pressures, Heat Fluxes, Temperature,
Heat Transfer Film Coefficient, Elastic Foundations.
Post-Processing: When the analysis is complete, MENTAT provides a
complete set of graphical options for examining and interpreting the
analysis results including:
Deformed Geometry, Nodal Intensity Plots, Contour Plots, and Vector
Plots.
In addition to these geometry-based displays, MENTAT enables the
user to produce X-Y plots of output variables as a function of location,
time or another output variable.
Display Capabilities: Color or Monochrome Options for all displays,
Vector Plot Optimizer reduces plotting time, Labelled or Color-Coded
Display of Element Data, X-Y Plots, Display Parameters, Annotations.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
MSC/NASTRAN
MSC900500100 Premier ISV
MacNeal-Schwendler Corp., The
815 W. Colorado Blvd.
Los Angeles, CA 90041
Tom Vorgitch (213) 259-4946
Industry:
Mechanical Engineering\Finite Element Analysis
Mfg/Aero/Defense/Govt Contract\Computer-Aided Manufacturing
Mfg/Support\Computer-Aided Manufacturing
Product Description:
MSC/NASTRAN general purpose finite element analysis program used by
engineers and designers around the world to analyze the stress,
vibration, and heat transfer characteristics of structures and
mechanical components.
Advantages:
* The most comprehensive design analysis program in the world.
* Efficiently solves problems ranging from the very small to the
extremely large.
* Easy to use, combining consistent model input across
MSC/NASTRAN's broad analysis capabilities.
* Flexible, modern open architecture.
* Unparalleled integration of state-of-the-art linear analysis
features.
* Design optimation.
* Ability to solve nonlinear problems where large displacements,
material non-linearities, and contact are important.
* Dynamic analysis capability solves equations of motion for both
linear and nonlinear systems.
Configuration Data:
not available
Pricing Information:
Leasing varies
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Machine Design & Drafting Symbols (MDDS)
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Support\Special Applications
Product Description:
MDDS provides a library of routines for creating hundreds of
fasteners commonly used in the design and construction of machines.
These routines create various types and sizes of nuts, bolts, washers,
and holes, in either cross sectional or top down views. It also
includes standard drafting symbology, such as entered notes, finished
symbols, weld symbols and thick lines.
Prerequisite: Series 7000 Graphics Software.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Mechanical Advantage
COG018660100 DAR
Cognition Corporation
755 Middlesex Turnpike
Billerica, MA 01821
Mike Cronin (508) 670-5300
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Solids Modeling
Product Description:
The Mechanical Advantage(TM) is an ACIS solids based variational
geometry mechanical design system which includes:
* AI based sketching
* Constraint Management
* Dependency Management
* Solids Modeling based on ACIS
* Geometry associative to performance equations
* Kinematics
* Hypertext based help files
* Optimization
* Tolerance allocation and analysis tools
* Associative drafting
* Realtime cost and producibility analysis during design
* Animation of mechanisms
* Performance optimization
Configuration Data:
not available
Pricing Information:
$5,000 to $19,500
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
SCO770660000 Premier VAR
EDS
13736 Riverport Dr.
Maryland Heights, MO 63043
Bill Webster (314) 344-5365
Industry:
Mechanical Engineering
Product Description:
EDS Unigraphics helps corporations become more productive and
profitable by re-engineering the processes that make up the product
design cycle. The focal technology of this strategy is Unigraphics, a
powerful and comprehensive CAD/CAM/CAE system favored throughout diverse
markets including aerospace, automotive and consumer products.
Unigraphics helps companies bring products to market faster, more
cost effectively and with built-in quality. It enables customers to
electronically define and manage their entire product life cycle;
function, form, fit all the way to approval cycles, methods, process
design and manufacturing. Customers are not locked into a single design
process or modeling approach. Unigraphics offers complementary modeling
techniques including wireframe. surfaces, 3D solids, both features and
rule based. Unigraphics provides multiple levels of design, from single
component to assembly and finished product. Our unique modeling
technology even enables constraint based models to be developed
automatically from older wirefram data, meaning users don't lose legacy
data.
Unigraphics is designed to maximize personal productivity. The
Motif interface empowers users to work with intuitive interaction,
flexible processes and on-line help. Routine and repetitive tasks can
be delegated to "generative product models" built with Unigraphics.
Modeling is based on Parasolid, the most consistent, accurate and
reliable core modeler available. Our leading NC simulation and
programming enables comprehensive CAM capabilities. Unigraphics' CAE
functions include mesh free stress analysis based on PDA Engineering's
technology and kinematic analysis based on ADAMS from MDI.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
Micro CADAM
NWI275660000 DAR-TS (TOP SELLERS)
Norwood & Williamson, Inc.
748E E. Chatham St.
Cary, NC 27511
FAX (919) 467-0062
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Support\Computer-Aided Manufacturing
Mfg/Discrete\All Discrete Manufacturers
Product Description:
MicroCADAM Plus - makes powerful performance features accessible to
users via desktop personal computers. its high-function capabilities
are ideal for users with the most demanding engineering applications,
and it provides the highest level of compatibility with other CADAM
systems.
Powerful Advantage - All Micro CADAM design/drafting products
feature the widely acclaimed CADAM user interface, an intelligent menu
system which lets you accomplish design tasks with a minimum number of
steps.
When compared to converntional interfaces available in other
systems, CADAM's "CAD-intelligent" features can reduce the number of
required interactions by 50% or more. Fewer operations mean more
drawings per hour, higher productivity which results in reduced costs
for you.
Indeed the extraordinary CADAM user interface is an important
reason why CADAM products have been successful the world over for more
than two decades.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Micro Engineering
MES064660000 DAR-TS (TOP SELLERS)
Micro Engineering Solutions Inc.
470 Murdock Ave.
Meriden, CT 06450
Fax (203) 630-3348
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg/Discrete\Computer-Aided Manufacturing
Product Description:
Micro Engineering Solutions, Inc. is a value added solution
provider of integrated CAD/CAM/CAE systems. A particular focus is on
the CAM and NC programming areas of an integrated concept to manufacture
process. Micro Engineering Solutions Inc. sells leading mechanical
engineering solutions such as:
* AutoCAD from Autodesk
* PRO/Engineer from Parametric Technologies Corp.
* SmartCAM from Point Control
* COSMOS/M from structural Research and Analysis proprietary
Micro Engineering Solutions also provides training, consulting and
support services which will insure a successful CAD, CAM and CAE system
implementation system.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Microstation
SCC379660000 DAR
Southern CAD/CAM
215 Center Pk. Dr. #100
Knoxville, TN 37922
Fax (615) 675-0668
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Aero/Defense/Govt Contract\Computer-Aided Manufacturing
Systems Integrators
Product Description:
Microstation offers power, speed, ease of learning, ease of use and
real time integration across multiple platforms. Microstation offers
unique capabilities such as like associative dimensioning that ensures
accuracy and saves you time.
Microstation offers modules for architectural environment which
help automate the workflow for easy productivity. Civil engineering
modules allow for consistent project coordination and mechanical
engineering modules offer designing functions for the total cycle of any
engineering project.
Configuration Data:
not available
Pricing Information:
available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Multi-layer Dielectric Optical Filters
LWJ805400100 ISV
James Assoc.
7329 Meadow Ct.
Boulder, CO 80301-3952
Dr. Larry James (303) 530-9014
Industry:
Mechanical Engineering
Product Description:
This software package contains a series of programs which calculate
the transmission and reflection of multi-layer Dielectric Filters with
an increasing degree of accuracy and sophistication. You may use them
for something as simple as calculating the effect of a quarter wave
coating on the transmission of a piece of glass, or as complex as
designing a 30-40 layer Bandpass Filter. All programs use the complex
matrix multiplication technique to calculate optical properties as a
function of wavelength or photon energy. Some are extremely fast (using
a Dielectric constant expressed by a simple formula) and allow rapid
design interaction with the user. Others use tables of actual optical
constants, and run more slowly, but give very accurate results which
allow final optimization of a design. The most complex program allows
the computer to optimize a design by tweaking layer thicknesses to
maximize a criteria which the user specifies.
Some typical applications where programs might be used:
* Dichroic Filters for color separation or photography.
* Antireflection coatings for optics.
* Antireflection coatings for photovoltaic cells or led's
* "Heat mirrors" or "cool mirrors".
Some programs require data files generated by the user of the
optical coefficients vs. wavelength of the materials to be used.
Configuration Data:
not available
Pricing Information:
$195. specify media
System Information:
HP 9000 BASIC
HP 9800 9800 BASIC
HP9000/300 BASIC
Product Type:
Listed
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
NORMDRAFT/Hasco Standards
BOR441660000 VAR
Borgware, Inc.
7550 Lucerne Dr. #302
Middleburg Heights, OH 44150
Fax (216) 891-0802
Industry:
Mechanical Engineering\Mechanical Design
Mfg / Ind Specific Solutions\Machinery Manufacturing
Mfg / Ind Specific Solutions\Rubber/Plastics Manufacturing
Product Description:
NORMDRAFT - is a comprehensive set of mold standards configured to
run within the CAD stoftware ME10/30 from Hewlett Packard. CAD with
standard elements provides a modern alternative to mold design by
conventional drafting board methods. This package is based on Hasco's
internationally accepted mold base standards. Complete mold base or
single component drawings are available through NORMDRAFT. Drawings are
true to scale representation and can be used for NC machining or other
places where accuracy is required. Part list information is included
that will allow quick bill of materials creation when used with
Borgware's NORMLIST product. The database was developed by Hasco and
through Borgware's NORMDRAFT interface, can be used easily without ever
leaving the comfort of the CAD system. The data structure is purely a
digital format that is read by NORMDRAFT and changed into the ME10/30
drawing commands. There is no need for a translator to read an
incompatible drawing file format.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Optical Concentrators of Solar Energy
LWJ805400100 ISV
James Assoc.
7329 Meadow Ct.
Boulder, CO 80301-3952
Dr. Larry James (303) 530-9014
Industry:
Mechanical Engineering
Product Description:
The following programs design the concentrating solar optics
according to user-specified criteria, and then analyze their performance
for thermal and/or photovoltaic collectors using Monte Carlo ray tracing
techniques. Call us for more detailed information and combination
pricing discounts.
Linear Reflective Solor Concentrators:
Flat Fresnel Mirror with a single cell string - Parabolic trough
with a single cell string - Arbitary Reflector Shape with a single cell
string - Parabolic trough with a dual cell string - Parabolic trough
with a reflective CPC secondary - Arbitrary Reflector Shape with a dual
cell string.
Linear Fresnel Lens Solar Concentrators:
Flat Lens, Flat Grooves - Flat Lens, Curved Grooves - Aspherically
Arched Lens, Flat or Curved Grooves -
Two Dimensional Relfective Solar Concentrators:
Spherical Mirror - Parabolic Mirror - CPC - Arbitrary Symmetrical
Reflector Shape (Single Bounce).
Two Dimensional Fresnel Lens Solar Concentrators:
Flat Lens, Flat Grooves, Round Cell - Flat Lens, Curved Grooves,
Round Cell - Flat Lens, Flat Grooves with Round with Round Cone
Secondary - Flat Lens, Curved Grooves with Round Cone Secondary - Flat
Lens, Flat Grooves with CPC Secondary, Round Cell - Flat Lens, Flat
Grooves, Square Cell - Flat Lens, Curved Grooves, Square Cell - Flat
Lens, Flat Grooves with Square Cone Secondary - Spherically Domed Lens,
Flat Grooves - Aspherically Domed Lens, Flat or Curved Grooves.
Configuration Data:
not available
Pricing Information:
Variable, call for price groupings and discounts
System Information:
HP 9000 BASIC
HP 9800 9800 BASIC
HP9000/300 BASIC
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mechanical Engineering
P/CONCEPT ANALYSIS
PEN927500100 Premier ISV
PDA Engineering
2975 Red Hill Ave.
Costa Mesa, CA 92626-5923
FAX (714) 979-2990
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Product Description:
P/CONCEPT ANALYSIS is a general purpose workstation based,
conceptual design tool that combines parametric solids modeling systems
assembly; detail drafting and finite element meshing and analysis in one
integrated, easy to use package.
P/CONCEPT ANALYSIS give designers the ability to create conceptual
design and easily make modifications, then perform preliminary analyses
and review the effects of those modifications. P/CONCEPT ANALYSIS,
along with a complimentary set of optional modules, provides complete
functionality for mechanical design verification and product
optimization.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
P3/Advanced FEA(TM)
PEN927500100 Premier ISV
PDA Engineering
2975 Red Hill Ave.
Costa Mesa, CA 92626-5923
FAX (714) 979-2990
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Product Description:
To bring comprehensive analysis tools to a larger set of
engineering problems, PDA Engineering has developed P3/ADVANCED FEA with
Hibbitt, Karlsson and Sorensen, Inc., the leader in "best of class"
comprehensive analysis. P3/ADVANCED FEA is completely integrated with
PDA Engineering's P3/PATRAN(TM) finite element pre- and post-processing
software and the technical advantage made available to the engineer with
the power and performance of engineering workstations make possible the
introduction of this easy-to-use, comprehensive, finite element analysis
software program.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
P3/Animation(TM)
PEN927500100 Premier ISV
PDA Engineering
2975 Red Hill Ave.
Costa Mesa, CA 92626-5923
FAX (714) 979-2990
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Product Description:
The volumes of data created by time-dependent structural thermal,
kinematic and fluid dynamic analysis can be very difficult to interpret.
P3/ANIMATION opens an exciting world of advanced visualization to
designers and engineers who need to look at time-dependent results or to
create realistic renderings and animations. P3/ANIMATION is an easy to
use software tool that provides animation and rendering of P3/PATRAN(TM)
models and results. P3/ANIMIATION can be used for interactive
examination of engineering data and for the creation of
presentation-quality still images and video animations.
P3/ANIMATION is fully integrated with P3/PATRAN and with the other
application modules of the PATRAN3 analysis software system.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
P3/CFD(TM)
PEN927500100 Premier ISV
PDA Engineering
2975 Red Hill Ave.
Costa Mesa, CA 92626-5923
FAX (714) 979-2990
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Product Description:
P3/CFD is a unique finite element based computational fluid
dynamics (CFD) software system that combines the intuitive P3/PATRAN(TM)
User Interface with state-of-the art solution hp-adaptive CFD
technology.
P3/CFD solves 2D, 3D and axisymmetric fluid flow problems including
laminar or turbulent, incompressible and compressible flows--from
subsonic, through transonic, to supersonic (with shock capturing).
P3/CFD seeks to optimize the finite element mesh by automatically
refining and unrefining the mesh size (h-adaptive), the polynomial order
(p-adaptive) or a combination of the two (hp-adaptive) during the
solution to capture the important flowfield features. P3/CFD often
exhibits exponential rates of convergence, making workstation use of
P3/CFD ideal for realistic design problems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
P3/COMPOSITE
PEN927500100 Premier ISV
PDA Engineering
2975 Red Hill Ave.
Costa Mesa, CA 92626-5923
FAX (714) 979-2990
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Product Description:
P3/COMPOSITE is a complete modeling and analytical package for
general composite structures. It allows detailed material and
structural definitions for two- and three- dimensional composite
constructions, linear and nonlinear finite element analysis of composite
thermostructural behavior, and ply-by-ply failure evaluation tools for
composite results evaluation. Its unique three-dimensional capability
enables users to calculate interlaminar edge effects as well as ply
stresses and strains with a minimum number of finite elements. The
provided results evaluation tools can also be applied to other composite
analysis packages. It has been successfully used in manufacturing
feasibility studies as well as in structural performance evaluations.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
P3/FATIGUE
PEN927500100 Premier ISV
PDA Engineering
2975 Red Hill Ave.
Costa Mesa, CA 92626-5923
FAX (714) 979-2990
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Product Description:
P3/FATIGUE is an advanced fatigue life estimation software package
for use with finite element analysis results. It provides
state-of-the-art fatigue design tools that can be used to optimize the
life of a product early in the design process. Total life, crack
initiation, and crack propagation can be considered. Loading and
material databases and database management tools are included with
P3/FATIGUE.
The P/FATIGUE software system consists of two elements: GLOBAL
multi-node analysis and detailed single node DESIGN OPTIMIZATION.
GLOBAL analysis is tightly coupled to P3/PATRAN Plus, enabling
users to interactively select areas of the structure of interest. The
fatigue parameters of these areas can be defined and fatigue analysis
performed. Results may be displayed using P3/PATRAN's powerful
post-processing capabilities to show nodal life contour plots. These
plots can be used to assess the damage for the entire structure.
DESIGN OPTIMIZATION analysis enables users to investigate possible
design options such as material and surface finish, which may affect the
durability of the product. The influence of variations in the
manufacturing process such as steel composition or weld quality on the
product life can be determinded.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
P3/FEA
PEN927500100 Premier ISV
PDA Engineering
2975 Red Hill Ave.
Costa Mesa, CA 92626-5923
FAX (714) 979-2990
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Solids Modeling
Product Description:
To provide a general purpose finite element analysis tool for
engineers working within the design-to-manufacturing process, PDA
Engineering has developed P3/FEA. P3/FEA has all of the analysis
capabilities that an engineer needs to do basic engineering analysis,
coupled with all of the model building and results visualization
capabilities of PDA Engineering's new P3/PATRAN(TM) pre- and
post-processing software.
P3/FEA builds on the extensive features of P/FEA release 2.5 and on
that product's use by major manufacturers worldwide. Key Features of
P3/FEA are, shell and solid models of laminated composites, enhanced
dynamics capability, design sensitivity analysis, and an easy to use,
easy to learn interface.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
P3/THERMAL
PEN927500100 Premier ISV
PDA Engineering
2975 Red Hill Ave.
Costa Mesa, CA 92626-5923
FAX (714) 979-2990
Industry:
Mechanical Engineering\Finite Element Analysis
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Mechanical Engineering\Thermal Analysis
Product Description:
P3/THERMAL is a general purpose thermal analysis program which
solves steady-state and transient response for one, two and
three-dimensional problems. It employs advanced mechanisms that provide
reliable solutions to a wide spectrum of industrial thermal
requirements, while utilizing time efficient solustion algorithms and
database management techniques.
Through P3/PATRAN Plus and P3/THERMAL a user can automatically
apply thermal results as loading to other programs, coupling the two
analyses together. P3/PATRAN Plus makes P3/THERMAL easy to use, while
significantly reducing the required to create a thermal model and
evaluate analysis results.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
PARAMETRIX
FAR611500100 DAR-TS (TOP SELLERS)
Farb Systems Inc.
129 S Phelps Ave.
#902
Rockford, IL 61108
FAX (815) 394-1370
Industry:
Mechanical Engineering\Mechanical Design
Architectural/Structural Eng.\Drafting
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
PARAMETRIX (TM) is a "power tool" for CAD users that brings full
parametric capability to any CAD drawing or system, providing parametric
control of drawings through dimensions and features. PARAMETRIX (TM)
also teams up with any spreadsheet or database application to provide
limitless design capability. PARAMETRIX (TM) uses all geometry and
dimensions from any CAD drawing; no need to re-dimension geometry once
in the PARAMETRIX (TM) application. Parametric assignments are simply
defined, "zero dimensions" are a reality, and the parametric
associativity is easily and quickly modifiable. Productivity
enhancements and cost reductions are realized using PARAMETRIX (TM) to
assemble shapes, control mechanisms, automate interdepartmental flow of
information, eliminate redundancy, reduce design and drafting errors,
and recove disk space by reducing size of part libraries.
Configuration Data:
not available
Pricing Information:
$995
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
PAT/IGES
PEN927500100 Premier ISV
PDA Engineering
2975 Red Hill Ave.
Costa Mesa, CA 92626-5923
FAX (714) 979-2990
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Product Description:
PAT/IGES is an Application Interface product which provides data
translation between PATRAN and IGES data files. Initial Graphics
Exhange Specification (IGES) establishes standardized information
structures to be used for the digital representation of CAD/CAM product
definition data.
PAT/IGES contains many special features and functions that
transfers the desired data between packages. The data is optimized
through special alogorithms which merge and fit IGES parametric spline
curve data and copious data, if desired, into mathematically accurate
geometric entities. Data translation can be performed either
interactively or in batch mode.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
PATRAN 3
PEN927500100 Premier ISV
PDA Engineering
2975 Red Hill Ave.
Costa Mesa, CA 92626-5923
FAX (714) 979-2990
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Solids Modeling
Product Description:
P3/PATRAN is an open-ended 3-D Mechanical Computer Aided
Engineering (MCAE) system that offers next generation technology in
linking engineering design, analysis, and results evaluation.
P3/PATRAN uses a MOTIF based interface that is exceptionally
easy-to-use and easy-to-learn. P3/PATRAN also offers unparalleled
integration. Using a concept called the Single Geometric Model (SGM),
P3/PATRAN allows a finite element analysis model to be created directly
from your CAD system without translating or recreating the design
geometry. P3/PATRAN's analysis integration allows an engineer to create
run-ready decks for solvers like MSC/NASTRAN, ABAQUS, ANSYS and MARC
using syntax specific to each code. In addition, P3/PATRAN provides
unrivaled engineering functionality and customizability. With the
advent of P3/PATRAN, the implementation of concurrent engineering take
an important step forward.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
PATRAN 3 Analysis Preferences
PEN927500100 Premier ISV
PDA Engineering
2975 Red Hill Ave.
Costa Mesa, CA 92626-5923
FAX (714) 979-2990
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Product Description:
The PATRAN 3 System includes Analysis Preferences which provide
data translation between P3/PATRAN and leading industry analysis solvers
such as MacNeal-Schwendler's NASTRAN Swanson Analysis' ANSYS, Hibbitt,
Karlsson and Sorenson's ABAQUS, and Marc Analysis Corporation's MARC.
These powerful software programs are linked together with engineering
analysis experience coupled with software development expertise.
Analysis Preferences help customize P3/PATRAN to run with the preferred
analysis code using syntex specific to that code. With this capability,
the user can create a run-ready analysis desk, submit the analysis job,
and the results, all from within P3/PATRAN.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
PATRAN Command Language-P3/PATRAN(TM)
PEN927500100 Premier ISV
PDA Engineering
2975 Red Hill Ave.
Costa Mesa, CA 92626-5923
FAX (714) 979-2990
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Product Description:
The PATRAN Command Language (PCL), a part of P3/PATRAN is a
comprehensive programming language and customization tool. PCL is a
full-function high-level block-structured language that provides many of
the features found in traditional programming languages. PCL can be
used to create an application or site-specific user interface, display
custom graphics, read from a write to the P3/PATRAN database, and create
new or enhanced functionality. Through PCL, P3/PATRAN can be easily and
completely integrated with other commercial or in-house codes.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
PRO/ENGINEER Parametric Technology
OBASCXY00000 DAR
Professional Control Corp.
N.114 - W. 18770 Clinton Dr.
PO Box 130
Germantown, WI 53022-0130
David Brooks (414) 251-3000
Industry:
Mechanical Engineering\Solids Modeling
Mfg/Custom\All Custom Manufacturers
Mechanical Engineering\Finite Element Analysis
Product Description:
Dynamic - Makes engineering modifications quickly and easily,
unlike traditional solid modelers; "What-if" capability allows
iterative engineering design; Provides fast interactive processing of
complex changes.
Parametric - Captures design intent and manufacturing constraints
through parametric features and user-defined relationships;
Automatically creates natural part families.
Associative - Generates fully-dimensioned 2-D drawings
automatically from the solid model; modifications to drawings update the
solid model and vice versa.
Engineering Analysis Capabilities - Mass properties of assemblies,
parts, and their cross-sections; Tolerance analysis.
Assembly Management - Provides natural method for constructing
parametric assemblies; Allows users to define functional relationships
between parts and/or sub-assemblies; Powerful layout capability.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
PROTOBLOCK
GAE117660000 ISV
Grumman Aerospace Corporation
1111 Stewart Ave.
Bethpage, NY 11714
Eitan Eadan (508) 369-4040
Industry:
Mechanical Engineering\Dynamic Analysis
Computer-Aided Test/Lab Auto.\Control System Analysis
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
PROTOBLOCK delivers a unique, interactive graphics environment for
the design, analysis, and simulation of complex dynamic systems.
Carefully crafted to harness the full power of acknowledged industry
standards, PRO-MATLAB and ACSL, this easy-to-use program provides
unmatched capabilties in control design and simulation. PROTOBLOCK will
help make any control design application easier to understand and
perform.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Pro-Engineer
MCA787660000 DAR
MLC CAD Systems Inc.
7101 Hwy. 71 W.
Austin, TX 78735
FAX (512) 288-6645
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Dynamic Analysis
Mechanical Engineering\Solids Modeling
Product Description:
Pro/Engineer is a design to manufacturing solution that handles all
areas of mechanical design.
Cadkey is a 3D mechanical design wireframe package that imports and
exports from most PC based and workstation environments.
Configuration Data:
not available
Pricing Information:
Pro-Engineer - $18,000
Cadkey - $3,495
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP3000 MPE
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
Pro-Engineer
MTE153660000 DAR-TS (TOP SELLERS)
Mallett Technology
4050 Washington Rd.
McMurray, PA 15317
Robert Mallett (412) 941-4201
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Dynamic Analysis
Mechanical Engineering\Finite Element Analysis
Product Description:
Pro-Engineer is a program that integrates the different stages of
the mechanical design-through manufacturing process and allows changes
made in one stage of the process to be automatically reflected in all
other phases. A unique parametically features driven interactive solid
modeler allows rapid interactive design changes. Available modules
include:
* Pro/Engineer * Pro/Assembly * Pro/Feature * Pro/Detail *
Pro/Sheetmetal * Pro/Plot * Pro/Cabling * Pro/Design * Pro/Ecad *
Pro/Interface * Pro/Manufacturing * Pro/Manufacturing * Pro/Sheetmetal *
Pro/Moldesign * Pro/Library.
This new-generation technology is revolutionizing the design
process in aerospace, automating, consumer products and electronics by
enabling design and manufacturing terams to work on a product design
concurrently.
Configuration Data:
not available
Pricing Information:
From $9500
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
Pro/ENGINEER
NWI275660000 DAR-TS (TOP SELLERS)
Norwood & Williamson, Inc.
748E E. Chatham St.
Cary, NC 27511
FAX (919) 467-0062
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Support\Computer-Aided Manufacturing
Mfg/Discrete\All Discrete Manufacturers
Product Description:
Pro/ENGINEER provides a revolutionary approach to mechanical design
automation. It is parametric, feature-based solid modeling system with
a unique and powerful architecture.
The strength uniqueness of the product is two-fold. The
parametric, feature-based capabilities provide engineers with
unprecedented ease and flexibility. And, Pro/ENGINEER's unique data
structure provides full associativity between all engineering
desciplines, tying together the entire design and manufacture of a
product.
Pro-ENGINEER is built on a single, underlying database, not many
proprietary databases as is the case with traditional, older generation
CAD/CAM systems. One database means one source of information for all
engineering disciplines. This allows individuals from different
departments to work concurrently, all from one product model or
difinition.
With Pro/ENGINEER, as changes are made in one discipline, all
related areas are updated automatically. Full associativity throughout
the entire design-through- manufacturing process allows engineers to
reconfigure product designs "on-the-fly" without incurring time and cost
penalties in downstream applications such as manufacturing. This
promotes design optimization, delivering higher quality products,
faster, at lower costs.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA SCO-UNIX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Pro/ENGINEER
PTE021660000 Premier ISV
Parametric Technology Corporation
128 Technology Dr.
Waltham, MA 02154
FAX (617) 891-1069
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Solids Modeling
Product Description:
The Pro/ENGINEER product line is a family of integrated, parametric
applications that provide a revolutionary approach to mechanical design
automation. It is a feature-based, solid modeling system with a unique
and powerful architecture. Pro/ENGINEER, the core module, provides all
the tools to create parametric, feature-based solid models of parts and
assemblies. It generates 3D color-shaded or wireframe views, as well as
fully dimensioned engineering drawings, and can export models and
drawings to other applications using the IGES standard. Other,
fully-associative modules in the Pro/ENGINEER family include:
Pro/ASSEMBLY, Pro/CABLING, Pro/CAT, Pro/COMPOSITE, Pro/DESIGN,
Pro/DETAIL, Pro/DEVELOP, Pro/DRAFT, Pro/ECAD, Pro/ECAD, Pro/FEATURE,
Pro/INTERFACE, Pro/LIBRARY, Pro/MANUFACTURING, Pro/MESH, Pro/MOLDESIGN,
Pro/NLO, Pro/PROJECT, Pro/REPORT, Pro/SHEETMETAL, Pro/SURFACE, and
Pro/VIEWONLY.
The strength and uniqueness of the Pro/ENGINEER product family is
two-fold. The parametric, feature-based capabilities provide engineers
with unprecedented ease and flexibility. And, Pro/ENGINEER's unique
data structure provides full associativity between all engineering
disciplines, tying together the entire design-through manufacturing of a
product.
Configuration Data:
not available
Pricing Information:
Starts at $9,500 USD and $14,500 International
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Pro/Engineer
ADG750660000 DAR
Advanced Data Graphics
4835 LBJ Fwy. #100
Dallas, TX 75244
David Chapin (214) 235-5454
Industry:
Mechanical Engineering\Fluids Flow Analysis
Mfg/Process\Numeric Control
Mechanical Engineering\Solids Modeling
Product Description:
Pro/Engineer is a parametric, feature based modeler that integrates
the entire design-through-manufacturing process in a revolutionary way.
It is:
* Feature-Based Design - Pro/Engineer creates models using
design-specific features such as shells, fillets, chamfers, ribs etc.
This eliminates the need for engineers to use core geometry to create
design features.
* Full Associativity - Pro/Engineer provides full associativity
between 3D models, detailed drawings, conceptual layouts, assembly
drawings, and manufacturing documentation. A change made in any mode
will be reflected in all other representations.
* Single, Unified Data Struture - Because Pro/Engineer is based on
a single data structure, design management is easy. All departments
reference one database, ensuring that all users are working with the
most current information.
* Parametric - Pro/Engineer is parametric, meaning that symbols
rather than fixed values represent model dimensions. This allows users
to relate dimensions and features to each other.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
Pro/Engineer
PWO980660001 DAR-TS (TOP SELLERS)
Personal Workstations, Inc.
10159 S.E. Sunnyside Rd.
Clackamas, OR 97015
Dave Watkins (503) 652-2870
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Discrete\Computer-Aided Manufacturing
Office Automation\Electronic/Desktop Publishing
Product Description:
Parametrically driven solids modeling package for CAD/CAM. Feature
based solids modeling allows user to capture design intent for improved
"time to market". Integration of the product throughout the design to
manufacturing process insures product quality and maximum optimization.
Configuration Data:
not available
Pricing Information:
Base package $9,500 - bundled configurations available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
ProEngineer, Interleaf
PCS074660000 DAR
Integrated Designs, Inc.
400 Rt. 17 So.
Ridgewood, NJ 07450
FAX (201) 445-0944
Industry:
Mechanical Engineering\Mechanical Design
Chemical/Pharmaceutical\Regulatory Compliance
Mfg/Discrete\All Discrete Manufacturers
Product Description:
Parametrics Technology - ProEngineer - this is a high end MCAD
design and manufacturing solution. The design tool is a 3D solids
modeling tool that is unique and powerful with such unique features as
"associativity" and parametric design. This concurrent engineering
product is the most powerful in the industry and is the market leader.
Interleaf - this top-of-the-line product is the best solution
available in the marketplace today that provides for document
publishing, viewing and relational document management (RDM). These
products are used in the technical publication areas and are becoming
the dominant products used in the Pharm industry for compliance to
Regulatory Affairs requirements (Canda-Computer Aided New Drug
Applications).
Configuration Data:
not available
Pricing Information:
ProEngineer - $18,000 base production system, plus many more add-on
modules.
Interleaf - $2,495 per license for I-5 Worldview/Worldview Press,
$5,000 per license and Relational Document Management (RDM) - $40,000.
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
QuicKIT
ATE303660000 DAR
Applied Software Technology Inc.
1908 Cliff Valley Wy. N.E.
Atlanta, GA 30329
FAX (404) 633-0154
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Mechanical System Analysis
Mechanical Engineering\Solids Modeling
Product Description:
A powerful, yet easy to use, AutoCAD template system. Uses any
digitizer 11" x 11" or larger. More than sixty AutoCAD enhancements.
Layer and text management are QUICK/Cryptic AutoCAD settings made
simple. Editing the drawing is quick and intuitive. A superlative
learning tool. From simple toggle functions to sophisticated multiple
parallel line routines, QuicKIT offers something for all AutoCAD users.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Renderman (PIXAR)
ODEH2X660000 DAR
Omer Deserres
254 Ste-Catherine Est
Montreal, Quebec
Canada H2X 1LA
FAX (514) 843-7327
Industry:
Mechanical Engineering\Mechanical Design
Architectural/Structural Eng.\Design/Simulation/Analysis
Mechanical Engineering\Solids Modeling
Product Description:
* Rendering software for front end Macintosh and back end HP 3000.
* Apple Connectivity.
* Processing of "RIB" files from applications like Microstation
(Integraph), Archicad (Graphisoft), Rend-X (Extended Soft) or Presenter
Professional (VIDI).
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
SABRE-5000
OBASAPX00000 VAR
Gerber Systems Corporation
83 Gerber Rd. W.
South Windsor, CT 06074
FAX (203) 282-7632
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Aero/Defense/Govt Contract\Computer-Aided Manufacturing
Mfg/Custom\Numeric Control
Product Description:
The SABRE-5000 is a turnkey, high performance CAD/CAM system for
improving manufacturing productivity in a wide range of aerospace,
automotive, heavy machinery, tool and die, and molding applications.
SABRE-5000 is written using a standard UNIX operating system. Its
software modules offer the user complete geometric modeling including
packages for 3-D wireframe, 3-D surface modeling as well as detail
drafting, parts lists and all aspects of design documentation.
The SABRE-5000 CAM software for numerical-control (NC)
manufacturing, includes basic 2 and 3-axis machining; an advanced
package for 4- and 5-axis machining; custom packages for such special
applications as turbine impeller blades; and a range of NC
postprocessing software, including support of the new BCL machining
standard, custom post- processors and Autopost, a generic postprocessor.
The SABRE-5000 provides interfaces to finite-element
modeling/analysis packages, 3D Systems' Stero Lithography Apparatus
(SLA), and translators for exchanging design and manufacturing
information between different data bases including IGES, Ford, General
Motors, Chrysler, and VDA/Bezier data converters.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
SDRC IDEAS
CCS000660000 DAR-TS (TOP SELLERS)
Sysix Technologies
8615 W. Bryn Mawr Ave. #401
Chicago, IL 60631
Fax (312) 693-3918
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Solids Modeling
Product Description:
SDRC's I-DEAS is a mechanical design automation software that
significantly improves engineering productivity. From product concept
to final production, I-DEAS software provides a comprehensive solution
for the entire engineering organization with capabilities in solid
modeling, finite element modeling and analysis, testing drafting and
manufacturing. With I-DEAS, a three dimensional solid representation of
a product is created that accurately defines its geometry function, fit,
manufacturing process and material characteristics. This product
definition database is then used for further activities in a concurrent
engineering environment.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
SMAP
ANA452400100 ISV
Anatrol Corp.
10895 Indeco Dr.
Cincinnati, OH 45241
William G. Halvorsen (513) 793-8844
Industry:
Mechanical Engineering
Product Description:
The SMAP program was developed to show the variation of measured or
analytical functions (time, frequency, or other domain) as a function of
some other parameter, such as operating speed, temperature, pressure,
flow, voltage, valve position, etc. on a two dimensional plot.
In the analytical version a program loop is provided for a
user-defined single-valued function, y, to be calculated and varied with
x and z axis values. In the measurement version, two channels are
normally used--one to provide the data from which the spectrum of
vibration amplitude versus frequency is computed by a Fourier Analyzer
and the other to provide the approximate operating third axis parameter.
The analysis system samples and calculates the frequency spectrum from
one channel and tags the spectrum with the operating parameter from the
second channel. This process is repeated until the memory unit is
filled. The data base is then dumped to a mass storage device for later
decoding. The data memory is erased, and the process continues until
the entire operating range of the machine has been covered. Once the
data generation is complete, the plotting phase is initiated during
which the data base is sorted and repetitive samples of data for the
same third axis value are averaged. The results are then plotted on a
three-dimensional contour plot, including hidden line feature for
clarity.
For rotating equipment analysis, shaft orbits, magnitude and phase
order tracking, runout correction, and other features are provided to
facilitate machinery diagnostic testing.
Complete documentation is provided including technical description
of the technique and sample applications.
Configuration Data:
not available
Pricing Information:
$3,500 U.S. and Canada; $4,000 International (license)
System Information:
HP 9800 9800 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
SST (Shell Structures Tools)
PSX921660000 DAR
PS Associates, Inc.
5755 Oberlin Dr. #300
San Diego, CA 92121
Paul Slysh (619) 453-3810
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Custom\Numeric Control
Mechanical Engineering\Mechanical System Analysis
Product Description:
SST is a validated and highly automated suite of software tools for
structural design, analysis and manufacture of shell and plate
structures. SST's automated CAE/CADD/CAM capabilities include
synthesis, sizing, optimization, layout and production planning of
isogrid, waffle, skin-stringer and skin-frame structures. SST also
provides engineering and manufacturing procedures and processes for
these structures including the integration of: circumferential/
longitudinal bolted flanges, penetrations and cutouts, attachment bosses
and nodes, kick rings, joint and transitions between sheel features.
SST features include:
* structural synthesis
* sizing
* tailoring
* optimization and forming analyses using well established
classical, empirical and finite element methods.
SST includes:
* a preprocessor
* post processor
* color-shading feature solid modeler
* auotmated flat patern layout of isogrid and waffle structures
* super compact graphics neutral file as well as analyses of area
properties
* bolted flanges
* boss reinforcements
* buckling
* general instability
* internal loads
* diagonal tension
* local crippling
* mass properties and high/low cycle fatigue
Configuration Data:
not available
Pricing Information:
Negotiable
System Information:
HP9000/300 BASIC
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
SST Versogrid Services
PSX921660000 DAR
PS Associates, Inc.
5755 Oberlin Dr. #300
San Diego, CA 92121
Paul Slysh (619) 453-3810
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Custom\Numeric Control
Mechanical Engineering\Mechanical System Analysis
Product Description:
SST Versogrid Services is the ultimate concurrent engineering
software system for the rapid and economical design and manufacture of
selected high-strength, lightweight structures. SST-VS includes such
automated CAE/CADD/CAM capabilities as synthesis, sizing, optimization,
layout, hot and cold forming analyses, tool path generation and
production planning for isogrid, waffle, skin-stringer, skin frame,
monocoque and other structrues.
Structures handled by SST-VS may include bolted flanges, bosses,
mounting provisions, cutouts and many other features. They may be
typically metallic or composite, machined, chemically milled, cast,
built up and metal matrix.
FEM pre and post processing as well as classical and empirical
analytical methods are implemented in SST-VS. Some outstanding SST-VS
features include:
Automated flat pattern layouts of cylindrical and conical isogrid
and waffle structures with cutouts, bosses and mounting flanges.
Comprehensive analyses for: strength, buckling, loads, high/low cycle
fatique and mass properties. Analysis and design results are presented
in easily understood numeical and graphical formats, including
color-shaded feature solid models. Unique NC tool path generation for
efficient machining. On demand annotated step by step traces clearly
descibing critical analysis methodologies and results.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 BASIC
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
STEEL-3D
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mechanical Engineering\Mechanical Design
Architectural/Structural Eng.\Design/Simulation/Analysis
Product Description:
STEEL-3D is a completely integrated design and analysis system for
two and three dimensional frame structures. The system provides
capabilities to build a structural frame model, apply loads and
properties, perform a static analysis, display output results, and
design and code-check the structure per AISC. If desired, user can then
pass the data to the drafting package A-Frame where the basic frame
drawing can be completed by the draftsperson.
Prerequisites: Color Monitor
Recommended: A-Frame - 348 Mbytes disk (Apollo)
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Series 4300 Semiconductor Test System
PAU950500100 DAR
Production Automation
2558 Seaboard Ave.
San Jose, CA 95131-1026
FAX (408) 980-8018
Industry:
Mechanical Engineering
Product Description:
Series 4300 systems test and track SEMICONDUCTOR component quality:
BIPOLAR TRANSISTORS, FETSDIODES, OPAMS; (Discretes and Arrays)
Commercial and Mil testing.
Measurements: Pulsed, DC Breakdown, DC Leakage, DC On-State,
Capacitance, Small Signal Gain/Freq Tests, Timing (On, Off, Rise, Fall,
Trr). Auto Handlers interfaced include: Ismecca (Chip and SOT),
Robotronic, Exatron, CTS SIP, and others. Manual-load fixturing also
available.
Test Station configuration: HP 9000 Series 300 computer, HP4142B,
HP4284A, HP6634A, HP34401; PA switching and menu-based software,
low-skill and safe operator interface, test head, fixtures, rack.
Operation manual, installation, training, service provided. HP/UX,
Networking option. PA software provides entry and execution, graphical
and tabular reports (raw data and statistics by component type or
supplier), upload/download, and system managment functions.
Markets: For component manufacturing, component characterization,
vendor qualification, incoming inspection and failure analysis; system
proven in operating at many
Configuration Data:
not available
Pricing Information:
Pricing upon receipt of customer test requirements
System Information:
HP9000/300 BASIC
HP9000/300 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
Series 4500 Transformer/Relay Test Sys.
PAU950500100 DAR
Production Automation
2558 Seaboard Ave.
San Jose, CA 95131-1026
FAX (408) 980-8018
Industry:
Mechanical Engineering
Mfg/Support\Quality Assurance Tracking
Mfg/Support\Quality Control Management
Product Description:
Series 4500 systems test and track magnetic component quality:
Transformers, Inductors, Mechanical Relays (discretes and Arrays).
Commercial and Mil testing.
Measurements: Inductance, DC and AC Resistance, Breakdown, Leakage
Current, DC and AC Resistance and HIPOT, Bias, Transformer Power Tests,
Winding Ration, Polarity, Relay Timing: latching or single sided 1 or 2
coil, 1 to 6 pole and more.
Test Station Configuration: HP 9000 Series 300 computer and
HP4284A, HP6634A, HP34401; PA switching and menu-based software
low-skill and safe operator interface, test head, fixtures, rack.
Operational manual, installation, training, service provided. HP/UX
Networking option. PA software provides test entry and execution,
graphical and tabular reports (raw data and statistics by component type
or supplier), upload/download, and system management functions. Turn
key; no programming skills needed.
Markets: For component manufacturing, component characterization,
vendor qualification, incoming inspection and failure analysis. System
proven in operation at many major OEM facilities.
Configuration Data:
not available
Pricing Information:
Pricing upon receipt of customer test requirements
System Information:
HP9000/300 BASIC
HP9000/300 HP-UX
HP9000/300 PASCAL
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
Series 4700 Filter/ConectorTest System
PAU950500100 DAR
Production Automation
2558 Seaboard Ave.
San Jose, CA 95131-1026
FAX (408) 980-8018
Industry:
Mechanical Engineering
Mfg/Support\Quality Assurance Tracking
Mfg/Support\Quality Control Management
Product Description:
Series 4700 Systems test and track quality of FILTER and DIODE
ARRAY components and CONNECTORS/CABLES. Commercial and Mil testing.
Parametric tests include FILTERS: Insulation Resistnace (to 1 TOhm),
Dielectric Withstanding Voltage, Capacitance (to 1pF), Dissipation
Factor, Contact Resistance; Mechanical fixturing available for all
packages including: Connectors, Capacitor Arrays, Electronic
Assemblies.
Test Station configuration: HP 9000 Series 300 computer, HP4142B,
HP4284A, HP6634A, HP34401; PA switching and menu-based software,
low-skill and safe operator interface, test head, fixtures, rack.
Operation manual, installation, training, service provided. Turn key;
no programming skills needed. HP/UX, Networking option. PA software
provides test entry and execution, graphical and tabular reports (raw
data and statistics by component type or supplier), system management
functions.
Markets: Aircraft, automative Electronics production test for
HIRF, lightning protection components. For component manufacturing,
component characterization, vendor qualification, incoming inspection
and failure analysis. System proven in operation at many major OEM
facilities.
Configuration Data:
not available
Pricing Information:
Pricing upon receipt of customer test requirements
System Information:
HP9000/300 BASIC
HP9000/300 HP-UX
HP9000/300 PASCAL
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
Series 7000 Graphics Software
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mechanical Engineering\Mechanical Design
Mfg/Support\Computer-Aided Manufacturing
Mechanical Engineering\Nodal Analysis
Product Description:
Series 7000 is a 3D wireframe and surface modeling system that
provides a common graphic database for the integration of all mechanical
design, engineering analysis, drafting and manufacturing disciplines.
Series 7000 includes all the functions available in:
* Series 7000D
* Surface Modeling
* Shading
* Applications Interface
* Eagle
* Hidden Line Removal
The Applications Interface and Eagle are programming tools that
provide a means for customers to customize many Series 7000 and
application functions to satisfy their specific requirements.
Series 7000 also includes a flexible, efficient, on-screen user
interface to simplify the learning process and a somplete drafting and
feawing annotation facility for producing drawings that are fully
compliant with the ANSI, ISO and DIN Drafting Standards.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Sightseer
STA193660000 ISV
Station Software, Inc.
PO Box 816
Kennett Square, PA 19348
FAX (215) 444-1777
Industry:
Mechanical Engineering\Mechanical Design
Aerospace\Aeronautical Engineering
Architectural/Structural Eng.\Design/Simulation/Analysis
Product Description:
Sightseer allows manufacturing, engineering, and others throughout
the organization to easily view vector CAD drawings and associated data
on-line from a wide range of computer platforms. Sightseer supports
both industry and native data formats and with point and click graphical
user interface, formal training is not required.
Additionally, red-line notes and comments can be added without
altering the original. Database information can be linked to drawings
and items on the drawings. Users can search for drawings associated
with a particular customer, the location of an item on the drawing or
related drawing or related drawings and data. Sightseer can be tailored
to meet the specific requirements of a firm, department, group or
individual. Through the unique 4GL, both the product functionality and
look and feel can be customized to replicate the manner in which a user
accesses data.
Through the use of Sightseer, firms can increase employee
productivity and reduce rework and the costs associated with
communicating through multiple paper documents while enchancing the
firm's ability to respond to ever shortening product development cycles
and increasing the overall quality of the products.
Configuration Data:
not available
Pricing Information:
$2995.00
System Information:
HP VECTRA MS-DOS
HP9000/400 DOMAIN
HP9000/400 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Solid Desig. Workmgr,ME10,ME30,DMS,ME10D
VDE940660000 Premier VAR
Visionary Design Systems
190 Sobrante Way #201
Sunnyvale, CA 94086
Linda Stasko (408) 524-8800
Industry:
Mechanical Engineering\Mechanical Design
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Solids Modeling
Product Description:
Visionary Design Systems is a national system integrator of
Hewlett-Packard's Mechanical Engineering Solutions: SolidDesigner,
WorkManager, ME30, ME10 and ME10d. We focus on helping customers
shorten product devlopment time and improve competitiveness by
streamling the entire process of product design. In addition to the HP
tools, which address the areas of design automation and product data
management, we provide and integrate RASNA for analysis and optimization
and Island Graphics for technical documentation. Our company also
develops customer-driven solutions including ConnectVDS for custom
support and communication, VDS DrawingControl for creation and
maintenance of 2D documentation associated with 3D models, and hundreds
of custom macros and libraries that enhance the standard products. VDS
provides a complete solution of exceptional quality, including hardware,
software, implementation, integration and consulting services, customer
support and comprehensive training, with a commitment to the highest
level of customer satisfaction.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
Spaceball 2003 3D Input Device
STE018660000 Complimentary Vendor
Spaceball Technologies Inc.
600 Suffoek St.
Lowell, MA 01854
FAX (508) 970-0199
Industry:
Mechanical Engineering\Solids Modeling
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Mechanical Design
Product Description:
The Spaceball 2003 is a true six axis 3D input device that enables
simultaneous six-axis motion control of computer generated images. It
allows users to simultaneously move and rotate images as if they
actually held the image in their hand. Using a Spaceball 2003 users
never have to take their hands off the device or their eyes off the
screen. This leads to increased productivity and better designs. The
Spaceball consists of a ball slightly larger than a tennis ball, mounted
on a 10" x 4" molded platform. Eight keys perform functions such a
choosing menu items, adjusting sensitivity, and restricting movement in
one or more directions. The Spaceball does not actually move but
instead responds to the slightest fingertip pressure. Users simply
grasp the ball around its equator and gently "pushes, pulls, or twists"
it to move the computer image.
The Spaceball 2003 is supported by applications such as SDRC
I-DEAS, HP ME30 and SolidDesigner, PDA Patran, EDS Unigraphics, Swanson
Analysis ANSYS, Parametric Technologies, Inc. PRO/Engineer and
Computervision CADD55. It comes with software drivers for HP-UX, an
RS-232 cable, HP adapter cable kit, installation, user documentation for
the Series 700 and Series 400 workstations, a either an AC power adapter
for the United States and Canada or an HIL adapter for internal use and
a one year parts and labor warranty.
Configuration Data:
not available
Pricing Information:
$1,595 U.S. - $1,695 International
System Information:
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
Supplier of CAD/CAM & Document Mgmt.Sys.
MCC018660000 DAR
MICROSource CAD/CAM
119 Cherry Hill Rd.
Parsippany, NJ 07054
FAX (617) 933-8963
Industry:
Mechanical Engineering\Mechanical Design
Corporate Services\Document Management
Mfg/Support\Computer-Aided Manufacturing
Product Description:
MICROSource CAD/CAM provides fully integrated CAD/CAM and Document
Management solutions with focus on time to market, quality and
administrative effectiveness.
Solutions represented include AutoCAD, Computervision - Personal
Designer, Intergraph Microstation, ADRA - CADRA - Solids, SDRC, CADAM -
PCAD, and WorkFlow - FlowLogic, Novell - Netware, among others.
Configuration Data:
not available
Pricing Information:
MICROSource CAD/CAM can provide a detailed proposal of hardware,
software, networking and systems integration services to fit your needs.
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
Surface Modeling
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mechanical Engineering\Mechanical Design
Product Description:
Surface Modeling provides a variety of surface types that can
easily handle the most complex surface modeling problems. The surface
types included are:
* analytically-defined surfaces, such as planes, cylinders,
spheres, and cones
* ruled surfaces
* tabulated cylinders
* curve-driven surfaces
* curve mesh (Coon's patch)
* fillet surfaces
* offset surfaces
* developable surfaces
* Parametric Spline Surfaces (for IGES import only)
* Non Uniform Rational BSpline Surfaces (NURBS)
Surface Modeling includes the capability to perform sophisticated
modifications to any surface including arbitrary "trimming" of a surface
to a curve, "cutting" or removing a hole in a surface or similarly,
retaining the hole and removing the remainder of the surface, and
dynamically modifying the control points (NURBS only). A variety of
other operations can be performed on any surface such as creating a
cross sectional slice or surface intersection curve and projecting a
curve onto a surface.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
System 3
S3X460660000 DAR
Systems 3 Systems Integration
3426 Tahoe Rd.
Carmel, IN 46033
FAX (317) 844-2577
Industry:
Mechanical Engineering\Solids Modeling
Mfg/Aero/Defense/Govt Contract\Computer-Aided Manufacturing
Mechanical Engineering\Finite Element Analysis
Product Description:
Parametric Technology/Pro Engineer
Configuration Data:
not available
Pricing Information:
available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
Test Monitor
LMT481400000 VAR
LMS N. America
5455 Corporate Dr. #303
Troy, MI 48098
FAX (313) 952-1610
Industry:
Mechanical Engineering\Dynamic Analysis
Math/Statistics\Data Analysis
Mechanical Engineering\Mechanical System Analysis
Product Description:
Test Monitor is a product that works with time history data, either
single channel or multiple channel, and provides data management, data
interpretation and processing.
Modular options include:
* Time/Frequency Analysis
* Harmonic Tracking
* Sound Quality Analysis and Replay
* Streamline Data Processing
Test Monitor and its options are typically used for analysis of
time data for transient (shock) phenomena, loading analysis, time data
filtering, time data editing and generation, descriptive statistics
(min, max, range, RMS, standard deviation, etc.), counting and
histogramming, and Sound Quality.
Test Monitor is multi-channel measurement oriented, with search and
processing capability from virtually an unlimited number of channels of
different types of data. Data can originate from a variety of
sources/measurement devices. The ability to characterize data based on
user attributes, events, and fingerprints is standard. Data can be
organized, described, and searched in user specific ways.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
The ICAD System
ICA000660000 ISV
ICAD, Inc.
201 Broadway
Cambridge, MA 02139-1901
Stuart Shellswell (617) 868-2800
Industry:
Mechanical Engineering
Product Description:
ICAD, Inc., is the world's leading provider of knowledge-based
engineering (KBE) software and services. The ICAD System increases
customer competitiveness through the automation of strategic engineering
applications. It helps companies bring products to market faster, lower
engineering and manufacturing costs, and improves product quality. The
ICAD System assists with product design applications including
semi-custom or one-of-a-kind design, manufacturing planning applications
such as process planning and assembly planning, and manufacturing
engineering applications such as generative tooling, including composite
tooling, die design, and mold base design.
With ICAD's KBE software, engineers can automate the design and
engineering process by capturing a company's expertise as rules within
an ICAD product model. The model takes input specifications, applies
the relevant rules, and generates a product design automatically. This
gives companies the ability to evaluate design/manufacturing
alternatives quickly before committing costly design and manufacturing
resources. ICAD's customers include many leading aerospace, automative
and industrial equipment manufactures around the world.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
UNIGRAPHICS
MDO630000000 Premier VAR
EDS - Unigraphics
13736 Riverport Dr.
Maryland Heights, MO 63043
Bob Brandenstein (317) 673-2950
Industry:
Mechanical Engineering\Mechanical Design
Aerospace\Military Applications
Mfg/Support\Computer-Aided Manufacturing
Product Description:
McDonnell Douglas has been a pioneer in the development and use of
interactive graphics systems for computer aided engineering, design and
manufacturing for more than 20 years. Our mechanical and NC
manufacturing systems have been used within our company to develop and
manufacture some of the worlds most sophisticated aircraft and
spacecraft. Many years of system development and involvement with our
users have given McDonnell Douglas a level of experience that is unique
in the CAD/CAM vendor community.
Our UNIGRAPHICS Software includes all the capabilities necessary to
describe the geometry of a mechanical part or assembly and produce
finished engineering drawings. Our engineering products offer automated
engineering methods which make use of existing design geometry.
McDonnell Douglas is in the business of creating automation tools
for all sectors of industry. Our CAM modules are a fully integrated
part of the UNIGRAPHICS product line, serving design, engineering, and
manufacturing.
Applications tied to factory data management, production planning
and control, data base management, system integration and communications
round out the UNIGRAPHICS line of automation products.
Dedication to optimal quality, maximum return on investment, and
flexibility are paramount in our approach to automation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
UNITECH
USO480660000 DAR-TS (TOP SELLERS)
UNITECH Solutions Inc.
1765 West Maple Rd.
Troy, MI 48084
Fax (313) 280-0320
Industry:
Mechanical Engineering\Solids Modeling
Graphics\Animation
Mechanical Engineering\Finite Element Analysis
Product Description:
Pro/Engineer Solid Modeling
Alias Industrial Design
SMARTCAM
COSMOS FEM
Configuration Data:
not available
Pricing Information:
available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mechanical Engineering
VISCOUS
ASC481500100 ISV
Airflow Sciences Corp.
37453 Schoolcraft Rd.
Livonia, MI 48150-1007
FAX (313) 464-5879
Industry:
Mechanical Engineering\Fluids Flow Analysis
Architectural/Structural Eng.\Environment Eng & HVAC
Earth Resources Engineering\Environmental Engineering
Product Description:
VISCOUS provides a flexible tool for the analysis of fluid flow.
Its capabilities include:
2-D or 3-D Analyses - Steady-state or Time-Dependent - Laminar or
Turbulent Flows - Specification of Body Forces - Internal Heat or Mass
Sources - Conjugate Heat Transfer Analysis - Reacting Chemical Flow
Analysis - A Variety of Boundary Conditions - Particle Trajectory
Analysis - Modeling of Porous Plates.
VISCOUS has been successfully used to solve problems in a variety
of fields, including:
Automative HVAC - Particulate Removal - Minimization of Pressure
Drop - Chemical Mixing - Vehicle Aerodynamics - Flow Imbalance Problems
- Biological Reactions.
X-Windows based pre-and post-processing modules allow for the
straightforward creation of numerical models and the analysis of
results. The programmay interact with a user-supplied external program
to allow for control of time-dependent boundary conditions or to extend
the program capabilities. Consulting services, an in-depth training
course, detailed documentation and regular program updates are all
available.
Configuration Data:
not available
Pricing Information:
License plus phone in support: $4,000 annually
Discount for multiple licenses available
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mechanical Engineering
me10, m330r
MAR943500100 ISV
MARC Analysis Research Corporation
260 Sheridan Ave.
Palo Alto, CA 94306
FAX (415) 323-5892
Industry:
Mechanical Engineering\Finite Element Analysis
Mechanical Engineering\Thermal Analysis
Product Description:
MARC/Linear is an integrated, interactive, full-featured product
for linear engineering analyses. Its analysis capabilities include
statics, dynamics, buckling, composites, and heat transfer. The element
library includes 2-D elements (plane strain, plane stress,
axisymmetric), solid elements, shell, beam/truss elements, pipe end
element, and various special elements (discrete masses, dampers,
springs, rigid links). The menu-driven user interface guides the
analyst through all the modeling, analysis, and results evaluation
steps. A load case processor allows for the combination of previously
analyzed separate load cases. For results evaluation, a complete range
of deformed geometry plots, contour plots, symbol plots, X-Y plots, and
written reports are available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
Listed